Ершов Дмитрий Александрович Саратовский государственный технический университет имени Гагарина Ю.А.

advertisement
Ершов Дмитрий Александрович
Саратовский государственный технический университет имени Гагарина
Ю.А.
МЕТОДЫ ЛИТОГРАФИИ В ЭЛЕКТРОНИКЕ: РАЗВИТИЕ И
ПЕРСПЕКТИВЫ
Научный руководитель: Слаповская Юлия Петровна, к.т.н., доцент кафедры ЭПУ
Basis for the development of micro-and nanoelectronics technology is improving lithography. The
article gives a brief description of the photolithography, electron-beam lithography, X-ray
lithography, ion-beam lithography. The article also discussed the technological advances leading
manufacturers of integrated circuits - immersion lithography and deep ultraviolet lithography.
Evaluated the expediency of growth of expenses for development of these processes.
Развитие микроэлектроники и вычислительной техники напрямую связано с
развитием технологических методов литографии. От её качества и
разрешающей способности зависит как процент выхода годных изделий, так и
количество элементов на единицу площади кристалла. В настоящее время
инженер, занимающийся изготовлением интегральных схем, имеет
возможность выбирать из большого числа имеющихся методов литографии:
контактная фотолитография, фотолитография с зазором, электронно-лучевая
литография, рентгенолитография, ионно-лучевая литография и некоторые
другие.
Фотолитография – вариант литографии, заключающийся в переносе
изображения элементов электронной схемы с маски (шаблона) на
полупроводниковую подложку с пленочным покрытием из фоторезиста
(материала, чувствительного к облучению светом) с последующим травлением
покрытия и легированием подложки или нанесением пленок через окна в
слое фоторезиста.
В оптической литографии все детали схемы экспонируются одновременно.
Пластина кремния с нанесенным рисунком помещается в среду, из которой в
кремний через окна в слое фоторезиста вводятся атомы легирующей примеси.
Повторение операций окисления, фотолитографии и легирования позволяет
селективно вводить атомы легирующих примесей p- и n-типа в очень малые по
размеру
области
на
поверхности
кристалла.
Сформированный
фотолитографией рисунок позволяет также наносить через окна в слое
фоторезиста необходимые металлические и/или диэлектрические пленочные
покрытия. Наименьшие размеры элементов, которые можно получить с
помощью классической
оптической
фотолитографии,
принципиально
ограничены длиной волны света. Фотолитография с помощью света с длиной
волны ~400 нм позволяет серийно изготовлять интегральные схемы с
минимальным размером 2-3 мкм, содержащие до 105 транзисторов [1].
Электронно-лучевая литография – метод изготовления субмикронных и
наноразмерных топологических элементов посредством экспонирования
электрически чувствительных поверхностей электронным лучом. Метод схож с
фотолитографией, но использует электроны вместо фотонов. Поскольку длина
волны электрона гораздо меньше, чем у фотона, дифракция не ограничивает
разрешение.
В сканирующей электронно-лучевой литографии резист экспонируется
(сканируется) последовательно перемещаемым в плоскости рисунка
фокусированным пучком электронов. Управление электронным лучом
производится по определенной программе с помощью компьютера, поэтому не
нужно применять какие-либо шаблоны или маски, но последовательное
сканирование всего рисунка увеличивает время экспонирования.
В проекционной электронно-лучевой литографии широкий нефокусированный
поток электронов используется для получения всего рисунка в течение одной
экспозиции. В такой системе фотокатод расположен на поверхности
оптической маски с заданным рисунком. Ультрафиолетовые лучи облучают
фотокатодный слой через маску, что вызывает эмиссию электронов с
фотокатода в облученных местах рисунка. Эти электроны проецируются на
поверхность резиста с помощью однородных электростатических и магнитных
полей. В результате на всей площади подложки рисунок создается за одну
экспозицию.
Системы электронной литографии для коммерческого применения очень
дорогостоящие (>4 млн $), однако получаемое разрешение выше и при этом не
требуется фотошаблона. Такую литографию используют для создания
фотолитографических масок [2].
Рентгеновская литография – метод микроэлектронной технологии,
заключающийся в формировании защитной маски заданного профиля с
субмикронным разрешением на поверхности подложки; осуществляется при
помощи рентгеновского излучения длиной волны l ~ 0,4 - 5 нм. Маска
изготовляется из стойкого к технологическим воздействиям материала –
полимерного резиста; необходимый рисунок формируется с помощью
рентгеношаблона. Поток рентгеновского излучения направляют на
рентгеношаблон, который этот поток пространственно модулирует. Резист
поглощает попавшее на него излучение, и таким образом в нём формируется
скрытое изображение рентгеношаблона: под действием излучения в резисте
образуются высокоэнергетичные (с энергией Е ~ 1 кэВ) фото- и ожеэлектроны, которые вызывают сшивание молекул резиста или их деструкцию
(соответственно, выделяют негативные и позитивные резисты).
Благодаря
малой длине волны
рентгеновского
излучения
методы
рентгенолитографии обладают высокой разрешающей способностью (~ 10 нм).
По сравнению с электронолитографией малы радиационные повреждения
формируемых структур и высока производительность благодаря возможности
одновременной обработки больших площадей образца. Рентгенолитография
отличается большой глубиной резкости и малым влиянием материала подложки
и её топографии на разрешающую способность [3].
Основные проблемы данного вида литографии состоят в сложности
изготовления и высокой стоимости шаблонов. Для сохранения высокого
разрешения и точного управления размерами элементов рисунок,
сформированный в золотой пленке, должен иметь вертикальные стенки окон.
Ионно-лучевая литография появилась как результат поиска путей
преодоления ограничений электронной и рентгеновской литографии. Ионнолучевое экспонирование является идеальным в том смысле, что для него
прямое и обратное рассеяния пренебрежимо малы, а радиационные
повреждения в кремниевой подложке практически отсутствуют, так как ионы в
основном не проходят сквозь слой резиста. Сфокусированные ионные пучки
для экспонирования резистов имеют ограниченное применение, так как размер
поля экспонирования не превышает 1 мм2. При сканировании ионного пучка
его отклонение происходит медленнее по сравнению с электронным пучком, а
разрешающая способность объектива оказывается не лучше 1 мкм в кристалле
5*5 мм. В настоящее время ионные пучки используются в основном для
изготовления фотошаблонов.
Импринт-литография на сегодняшний день является еще одним
востребованным видом литографии. Она основана на использовании штампа с
нанорельефом, играющего ту же роль, что и шаблон в контактной оптической
литографии. Главные ограничения метода заключаются в трудностях
совмещения штампов для формирования различных слоев структуры, что
приводит к меньшей производительности процесса [1].
Проведение литографии возможно также с использованием атомной силовой
микроскопии (АСМ). При таком подходе зонд микроскопа перемещается по
поверхности подложки с достаточно большой силой прижима, так что на
подложке (или на лежащем на ней слое резиста) формируется рисунок в виде
углублений (царапин). Такой способ литографии (наногравировки) обладает
рядом преимуществ по сравнению электронно/ионно-лучевыми литографиями,
в частности, нет необходимости в дополнительных технологических операциях
(травление и пр.). Однако имеются и недостатки — при статическом
воздействии зонда случайные торсионные изгибы кантиливера приводят к
краевым
неоднородностям
рисунка,
а
операции
сканирования
(предшествующие и последующие нанолитографической операции) приводят к
сдвиговым искажениям рисунка. Кроме этого промышленное применение
данного метода ограничено его стоимостью [1].
Иммерсионная литография — способ повышения разрешающей способности
за счет заполнения воздушного промежутка между последней линзой и пленкой
фоторезиста жидкостью с показателем преломления более 1. Угловое
разрешение увеличивается пропорционально показателю преломления.
Современные литографические установки используют в качестве жидкости
высокоочищенную воду, позволяя работать с техпроцессом менее 45 нм. Суть
иммерсионной литографии состоит во введении жидкости (воды или другой
жидкости с высоким показателем преломления) между проекционным
оптическим объективом и пластиной для достижения большей глубины фокуса
(при числовой апертуре объектива ниже 1.0) или для получения проекционной
системы с эффективной числовой апертурой более 1.0, что в конечном
результате улучшает разрешающую способность [1].
Применение оптических материалов, фоторезистов и иммерсионных жидкостей
с показателями преломления более 1,93 позволяет с использованием 193-нм
иммерсионного сканера переносить критические размеры уровня 25 нм.
Глубокая ультрафиолетовая литография – экстремальная ультрафиолетовая
литография – нанотехнология электронных микросхем, использующая
литографический процесс с экспонированием (облучением) фоторезиста
"глубоким" (deep ultra violet – DUV) или "жестким" (extreme ultra violet – EUV)
ультрафиолетовым излучением.
Ультрафиолетовое излучение с длиной волны 248 нм ("глубокий"
ультрафиолет) позволяет применять шаблоны с минимальной шириной
проводников 100 нм. Рисунок схемы задается ультрафиолетовым излучением,
которое проходит через маску и фокусируется специальной системой линз,
уменьшающей заданный на маске рисунок до микроскопических размеров
схемы. Кремниевая пластина перемещается под системой линз так, чтобы были
последовательно обработаны все размещенные на пластине микропроцессоры.
Ультрафиолетовые лучи проходят через свободные пространства на маске. Под
их действием светочувствительный позитивный слой в соответствующих
местах пластины становится растворимым и удаляется органическими
растворителями. Максимальное разрешение, достигаемое при использовании
"глубокого" ультрафиолета, составляет 50-60 нм.
Жесткое ультрафиолетовое излучение (EUV) с длиной волны около 13.5 нм по
сравнению с "глубоким" ультрафиолетом обеспечивает почти 20-кратное
уменьшение длины волны до величины, сопоставимой с толщиной слоя в
несколько десятков атомов. EUV-литография делает возможной печать линий
шириной до 30 нм и формирование элементов структуры электронных
микросхем размером менее 45 нм. EUV-литография предполагает
использование систем специальных выпуклых зеркал, которые уменьшают и
фокусируют изображение, полученное после применения маски. Такие зеркала
представляют собой наногетероструктуры и содержат до 80 отдельных
металлических слоев (каждый толщиной примерно в 12 атомов), благодаря
чему они не поглощают, а отражают жесткое ультрафиолетовое излучение [1].
В настоящее время стоимость 14-нм подложек фирмы Intel, изготовленных
методом иммерсионной литографии, на 90% больше, чем стоимость пластин с
проектными нормами 28 нм. В итоге производительность 14 нм чипов
увеличивается только на 15-20% по сравнению с предыдущим поколением, а не
на 30%, как это было прежде, что ставит под вопрос целесообразность
технологии иммерсионной литографии. По оценке Intel, литография глубокого
ультрафиолета (EUV) уменьшает разрыв до 60%.
Высокая стоимость производства с использованием существующих методов
иммерсионной литографии обусловлена необходимостью проводить для
каждого ключевого слоя три экспозиции с разными масками, тогда как метод
литографии глубокого ультрафиолета (ЛГУ) позволяет выполнить те же
операции за один проход.
Компании Intel, Samsung и TSMC независимо друг от друга инвестировали
миллиарды долларов в ASML, которая занимается изготовлением оборудования
для литографии глубокого ультрафиолета. При использовании существующих
источников света литографическая установка может производить только 20
подложек в час, в то время как требуемая производительность лежит на уровне
100 подложек в час. Хотя компания Intel предполагала внедрять EUV-сканеры
ещё на этапе освоения 45-нм технологических норм в 2007 году, согласно
существующим планам, достаточно массовое производство чипов с
использованием EUV-литографии вряд ли стартует раньше 2017 года.
Бесспорно, для дальнейшего развития электроники, т.е. увеличения
производительности за счет уменьшения размеров чипов, ключевым моментом
является совершенствование методов литографии. Теоретически существует
возможность использования иммерсионной литографии на уровне до 11 нм, но
опробованных технологичных решений для уровней менее 22 нм пока не
существует. При этом дальнейшее освоение уровней технологии 20 нм и менее
не позволит существенно увеличить быстродействие микропроцессоров.
Анализ
показывает,
что
разумные
пределы
быстродействия
микропроцессорных СБИС можно достичь при уровнях технологии 65 – 45 нм.
Суть заключается в том, что уменьшение размеров элементов в массовом
производстве нужно, прежде всего, для получения наибольших экономических
выгод, а не для повышения быстродействия формируемой приборной
структуры [4].
Таким образом, несмотря на то, что на рынке существуют и успешно
реализуются установки иммерсионной литографии, работающие на уровне 32нм топологии, рост затрат на разработку и эксплуатацию новых процессов в
рамках иммерсионной и EUV-литографии может сделать их нерентабельными.
По оценкам экспертов наибольший экономический и технический эффект при
производстве интегральных микросхем можно получить от применения
комбинированной литографии – проекционной литографии и электроннолучевой.
1.
2.
3.
4.
Список использованных источников:
Гусев А. И. Наноматериалы, наноструктуры, нанотехнологии. - М., Наука-Физматлит,
2007. - 416 с.
J. A. Liddle et al. (2003). "Resist Requirements and Limitations for Nanoscale Electron-Beam
Patterning". Mat. Res. Soc. Symp. Proc. 739 (19): 19–30
"Поверхность. Физика, химия, механика", 1983, М 11, с. 5. В. В. Аристов, В. А. Кудряшов.
Научно-технический журнал «Фотоника», выпуск 4/2010 М.Макушин, В.Мартынов.
Download