Правительство Российской Федерации Федеральное государственное автономное образовательное учреждение высшего профессионального образования

advertisement
Правительство Российской Федерации
Федеральное государственное автономное образовательное учреждение
высшего профессионального образования
«Национальный исследовательский университет
«Высшая школа экономики»
Московский институт электроники и математики Национального
исследовательского университета "Высшая школа экономики"
Факультет электроники и телекоммуникаций
Кафедра Радиоэлектроника и телекоммуникации
ВЫПУСКНАЯ КВАЛИФИКАЦИОННАЯ РАБОТА
На тему: Разработка конфигурации ПЛИС для ячейки информационного
обмена
Студент группы № Р-101
Адам Дарья Ивановна
Руководитель ВКР:
ст. преподаватель Крючков Н.М.
(МИЭМ НИУ ВШЭ)
Консультанты:
к.т.н., доцент Захарова С.С.
(МИЭМ НИУ ВШЭ)
ведущий инженер Ксендзов О.В.
(ОАО НПК НИИДАР)
Москва, 2013
1
Правительство Российской Федерации
Федеральное государственное автономное образовательное учреждение
высшего профессионального образования
"Национальный исследовательский университет
"Высшая школа экономики"
Московский институт электроники и математики Национального
исследовательского университета "Высшая школа экономики"
Факультет электроники и телекоммуникаций
Кафедра Радиоэлектроника и телекоммуникации
УТВЕРЖДАЮ
Заведующий кафедрой
______________ / С.У.Увайсов/
«___» ____________ 2013 г.
ЗАДАНИЕ
НА ВЫПУСКНУЮ КВАЛИФИКАЦИОННУЮ РАБОТУ (ВКР)
студенту 5 курса группы Р-101 Адам Дарье Ивановне
(ФИО)
1 .Тема «Разработка конфигурации ПЛИС для ячейки информационного
обмена»
(Утверждена приказом от _________________ № _____________)
2. Срок сдачи ВКР руководителю: 27.05.2013
Срок сдачи ВКР на выпускающую кафедру: 10.06.2013
3. Техническое задание. Разработать программу на языке VHDL для
интерфейса PIOX.
4. Содержание расчетно-пояснительной записки.
A. Специальная часть.
1 ПЛИС
1.1 Общие сведения
1.2 ПЛИС семейства FLEX10K
1.3 Quartus II – система проектирования ПЛИС
1.4 Вычислительный комплекс «Эльбрус-90микро» в конструктиве cPCI в
настольном исполнении
2
2 Ячейка информационного обмена и устройства, частью которых она
является
2.1 Радиолокационная станция
2.2 Радиоэлектронное устройство, в состав которого входит ячейка
информационного обмена
2.3 Технические требования к ячейке информационного обмена
2.4 Назначение ячейки информационного обмена
2.5 Интерфейс PIOX
3. Язык описания аппаратуры цифровых систем VHDL
3.1 Описание языка
3.2 Описание разработанной программы
4 Расчёт надёжности ячейки
Б. Экологическая часть.
5 Экологическая оценка компьютеризации общества
B. Раздел по БЖД.
6 Безопасность жизнедеятельности
6.1 Анализ вредных факторов при длительных статических нагрузках на
пользователя
6.2 Организация рабочего места
Г. Экономическая часть.
7 Организационно-экономический раздел
7.1 Планирование разработки ПО с построением графика
7.2 Расчет затрат на разработку ПО
7.3 Расчет основных технико-экономических показателей использования
программного продукта
Д. Решение задач на ЭВМ.
1 Microsoft Word 2007
2 Quartus II
3 АСРН (Автоматизированная система расчета надежности)
4 Microsoft Office Visio 2007
5. Перечень графического материала
1 Структурная схема ячейки информационного обмена
2 Презентация к защите дипломной работы
3
6. Консультант по ВКР.
Консультант ________________________ /Захарова С.С./
(подпись)
(ФИО)
Консультант ________________________ /Ксендзов О.В./
(подпись)
(ФИО)
7. Дата выдачи задания «___» ______________ 2013 г.
Руководитель ВКР ________________________ /Крючков Н.М./
(подпись)
(ФИО)
Задание принято к исполнению _____________________ /Адам Д.И./
(подпись)
(ФИО)
«___» ______________ 2013 г.
Примечание.
Задание оформляется в двух экземплярах и сдается на кафедру. После утверждения
один экземпляр задания выдается на руки студенту. Экземпляр задания вшивается в
пояснительную записку.
4
Оглавление
Аннотация…………………………………………………………………….
Перечень сокращений………………………………………………………..
Введение………………………………………………………………………
1 ПЛИС………………………………………………………………………..
1.1 Общие сведения…………………………………………………………..
1.2 ПЛИС семейства FLEX10K……………………………………………...
1.3 Quartus II – система проектирования ПЛИС……………………………
1.4.1 Создание файла проекта……………………………………………….
1.5 Вычислительный комплекс «Эльбрус-90микро» в конструктиве cPCI
в настольном исполнении……………………………………………………
2 Ячейка информационного обмена и устройства, частью которых она
является……………………………………………………………………….
2.1 Радиолокационная станция……………………………………………...
2.2 Радиоэлектронное устройство, в состав которого входит ячейка
информационного обмена…………………………………………………...
2.2.1 Описание и работа РЭУ..………………………………………………
2.2.2 Технические характеристики РЭУ………………………………….....
2.2.3 Состав РЭУ……………………………………………………………...
2.3 Технические требования к ячейке информационного обмена………...
2.4 Назначение ячейки информационного обмена…………………………
2.4.1 Интерфейсы ячейки информационного обмена……………………...
2.5 Интерфейс PIOX………………………………………………………….
3 Язык описания аппаратуры цифровых систем VHDL…………………..
3.1 Описание языка…………………………………………………………..
3.2 Описание разработанной программы…………………………………...
4 Расчёт надёжности ячейки информационного обмена………………….
5 Экологическая оценка компьютеризации общества…………………….
6 Безопасность жизнедеятельности…………………………………………
6.1 Анализ вредных факторов при длительных статических нагрузках на
пользователя………………………………………………………………….
6.2 Организация рабочего места…………………………………………….
7 Экономический раздел…………………………………………………….
7.1 Планирование разработки программного обеспечения с построением
графика……………………………………………………………………….
7.1.1 Определение трудоёмкости и продолжительности работ по
созданию ПО…………………………………………………………………
7.1.2 Построение ленточного графика разработки ПО……………………
7.2 Расчёт затрат на разработку ПО…………………………………………
7.3 Расчёт основных технико-экономических показателей
использования программного продукта……………………………………
Заключение……………………………………………………………………
Список литературы…………………………………………………………..
7
9
11
13
13
15
18
18
27
29
29
30
30
30
30
35
37
37
44
53
53
55
58
63
67
67
69
74
74
74
78
81
86
88
89
5
Приложение 1………………………………………………………………...
Приложение 2………………………………………………………………...
Приложение 3………………………………………………………………...
Приложение 4………………………………………………………………...
91
96
97
102
6
Аннотация
В настоящее время на территории РФ находятся на боевом дежурстве
несколько радиолокационных станций РЛС раннего предупреждения о
ракетном нападении.
В состав рассматриваемой РЛС входит вычислительный комплекс
(ВК),
предназначенный
для
приема
информации
технического
и
функционального контроля, поступающей в реальном масштабе времени от
других устройств станции, отображения результатов обработки на элементах
индикации и формирования команд технического и функционального
управления устройствами станции.
Частью ВК является ячейка информационного обмена.
Обмен данными ЭВМ осуществляет вычислительного комплекса с
ячейкой осуществляет по специальному параллельному интерфейсу. Обмен
информацией технического и функционального управления и контроля, с
устройствами РЛС, производится при помощи интерфейсов, реализованных в
ячейке:
- четыре интерфейса с самосинхронизирующимся кодом;
- два интерфейса RS-485;
- один интерфейс RS-232.
Основной объем схемотехнических решений реализован на ПЛИС
(программируемая логическая интегральная схема) семейства FLEX10K
фирмы Altera.
Программа конфигурации ПЛИС разработана в среде проектирования
Quartus II. Узел специального параллельного интерфейса разработан на языке
описания аппаратуры интегральных схем
VHDL, остальные узлы ПЛИС
разработаны с помощью графического редактора САПР Quartus II.
В дипломе рассмотрены тенденции развития ПЛИС, сравнительный
анализ применяемых современных программируемых интегральных схем, а
ПЛИС из семейства FLEX10K рассмотрены более подробно.
Также в работе был произведен расчет надежности ячейки в
7
автоматизированной системе расчета надежности (АСРН). АСРН была
разработана в ОАО «Российский научно-исследовательский институт
«ЭЛЕКТРОНСТАНДАРТ»
на
базе
справочника
«Надежность
электрорадиоизделий».
8
Перечень сокращений
АЛУ - арифметико-логическое устройство;
АЦП - аналого-цифровой преобразователь;
АФУ - антенно-фидерное устройство;
ВКЛ - включено;
ВП - военное представительство;
ОТКЛ - выключено;
ЗИП-О - запасное имущество и принадлежности, одиночный;
ИБП - источник бесперебойного питания;
ИО - инженерное оборудование;
КД - конструкторская документация;
КИМС - комплексная имитационная модель станции;
КИП - контрольно-измерительные приборы;
КО - кратковременное обслуживание;
НЖМД - накопитель на жестком магнитном диске;
ОЗУ - оперативное запоминающее устройство;
ОС - операционная система;
ОТК - отдел технического контроля;
ПЭВМ - персональная электронная вычислительная машина;
ПЛИС - программируемая логическая интегральная схема;
РВР - ремонтно-восстановительные работы;
РЛС - радиолокационная станция;
РМО - рабочее место оператора;
РЭ - руководство по эксплуатации;
РЭУ - радиоэлектронное устройство;
СТПО - специальное тестовое программное обеспечение;
Таи 1 время начала излучения; Тап - время конца излучения;
ТО - техническое обслуживание;
ТЭЗ - типовой элемент замены;
УХЛ - умеренно-холодный;
9
ФК - функциональный контроль;
ФПО - функциональное программное обеспечение;
ЦАП - цифро-аналоговый преобразователь;
ЦОС - цифровая обработка сигналов;
ЭД - эксплуатационная документация;
СD - компакт-диск;
DМА - прямой доступ к памяти;
FIFО - "первым пришел — первым вышел";
FLOPS - количество операций с плавающей запятой в секунду;
РСI - шина соединения периферийных компонентов;
SDRАМ - синхронная динамическая память;
USB - универсальная последовательная шина;
ВРЭ HDD - накопитель на жестком диске.
10
Ведение
Радиолокационные станции раннего предупреждения о ракетном
нападении ведут непрерывный контроль воздушного пространства далеко за
пределами границ РОСИИ, обеспечивая наличие большого подленного
времени для приведения системы ПВО в боевую готовность с целью защиты
территории страны от ракет вероятного противника.
В рассматриваемой РЛС в качестве обрабатывающего устройства
используется вычислительный комплекс, предназначенный для приема
информации, поступающей в реальном масштабе времени от других
устройств РЛС (абонентов), отображения результатов контроля этих
устройств
на
экранах
рабочих
мест
операторов
и
формирования
управляющей информации для этих абонентов. Для реализации этой задачи
должна быть разработана ячейка, которая могла бы принять данные
параллельного 16-ти разрядного кода интерфейса
PIOX, используемого
управляющей ЭВМ (коды технического и функционального управления) и
передать эти данные в последовательном коде на абоненты:
- передающее устройство;
- принимающее устройство;
- синхронизатор;
- антенно-фидерное устройство.
Информация
технического
и
функционального
контроля
в
последовательном коде от перечисленных выше устройств должна быть
принята ячейкой во внутреннее ОЗУ и передана в управляющую ЭВМ в
параллельном коде по ее запросу.
Получив сигналы запроса ячейка транслирует ее в модули цифровой
обработки сигналов (ЦОС) ЭВМ. Модуль ориентирован на приём и
цифровую обработку сигнальной информации в реальном масштабе времени.
Модуль ЦОС и ячейка информационного обмена (3Д-Я202) соеденены
шлейфом. Обмен данными с ячейкой по интерфейсу PIOX производится
пакетами. Интерфейс представляет собой 16 параллельных линий данных
11
PIO и две управляющие линии PIO_WR PIO_RD (запись и чтение).
По интерфейсу PIOX доступны:
- общие регистры ячейки;
- регистры контроллеров интерфейсов;
- ОЗУ контроллеров интерфейсов.
В
данном
дипломе
осуществлена
разработка
программы
для
обеспечения функционирования этого интерфейса. Программа написана на
языке VHDL.
12
1 ПЛИС
1.1 Общие сведения
ПЛИС (программируемая логическая интегральная схема) - это
большие
интегральные
программным
микросхемы
способом
матричного
реализовать
типа,
логические
позволяющие
функции
большой
сложности. Физическим ограничением быстродействия присущей всем
традиционным
архитектурам
процессоров
является
последовательное
выполнение команд. Архитектура ПЛИС имеет потенциально большее
быстродействие по сравнению с микроконтроллерами и DSP процессорами.
Это объясняется возможностью аппаратного распараллеливания вычислений.
Тенденция развития ПЛИС заключается не в конкуренции с
микропроцессорами. Современный подход к проектированию сложных
систем заключается, скорее, в удачном совмещении архитектур ПЛИС и
процессоров. При этом происходит органичное дополнение: возможность
скоростной
обработки
данных
в
реальном
времени;
реализации
узкоспециальных алгоритмов, с жесткими временными диаграммами;
большое число пользовательских выводов с широкими возможностями
процессоров для решения математических и алгоритмических задач.
Другой
областью
применения
ПЛИС
является
построения
высокоскоростных систем ввода/вывода данных, благодаря встроенным
скоростным
приемопередатчикам,
пользовательских
выводов
пользовательских
выводов!)
а
также
(современные
что
делает
большому
ПЛИС
их,
имеют
зачастую,
количеству
более
1000
единственно
приемлемым решением.
Еще одной областью применения ПЛИС явялется прототипирование
заказных СБИС (ASIC), когда проект сначала реализуется на ПЛИС, а затем
переводится в базис заказной микросхемы.
Исходя из вышесказанного, можно перечислить основные области
применения ПЛИС:
Высокоскоростная обработка данных;
Алгоритмы ЦОС, особенно где требуется обработка данных в реальном
13
времени;
Задачи обработки информации, требующие большого количества
пользовательских выводов;
Промежуточных этап проектирования СБИС;
Узкоспециальные алгоритмы, построенные на жестких временных
диаграммах;
Проекты, где требуется большое число портов ввода-вывода.
В настоящее время наибольшую распространенность получили два типа
архитектур ПЛИС:
1) CPLD (англ. complex programmable logic device). Примерами ПЛИС
данной архитектуры является семейство MAX фирмы Altera и CoolRunner
фирмы Xilinx. Для архитектур данных ПЛИС характерны крупные
логические блоки - макроячейки (macrocells). Современные ПЛИС содержат
до нескольких сотен макроячеек. Каждая макроячейка реализует функцию
нескольких переменных и содержит триггер для хранения полученного
результата. Для ПЛИС данной архитектуры характерно крайне низкая
потребляемая мощность в статическом режиме (потребляемый ток порядка
десятков микроампер), которая линейно возрастает с увелчением тактовой
частоты. Также для данной архитектуры характерны жесткие временные
задержки между макроячейками а следовательно и выводами микросхемы.
Типичное время задержки между выводами (pin-to-pin) составляет единицы
наносекунд. Прошивка ПЛИС данной архитектуры хранится внутри
микросхемы в энергонезависимой памяти. [1]
2)FPGA
(англ.
field-programmable
gate
array).
ПЛИС
данной
архитектуры обладают намного более развитой архитектурой, по сравнению
с CPLD. Основной структурной единицей ПЛИС данной архитектуры
является LUT(англ. Lookup tables) - таблицы преобразования, позволяющие
реализовывать
логические
функции.
Современные
ПЛИС
содержат
аппаратные умножители в том числе с накоплением (MAC), блоки
внутренней памяти, аппаратные интерфейсы для DDRx SDRAM, аппаратные
ядра PCIexpress, встроенные микропроцессорные ядра, трансиверы для
14
организации скоростной передачи данных между ПЛИС и внешними
устройствами. [1]
В процессе проектирования устройств на ПЛИС используют языки
описания устройств HDL (Hardware description language) - VHDL, Verilog,
Abel, AHDL. Ранее был распространен способ проектирования с помощью
рисования схемотехники. Этап проектирования устройства на ПЛИС
заключается в описании устройства на языке HDL, перевода описания в
базис выбранной ПЛИС, трассировка внутренних ресурсов ПЛИС в
соответствии с со списком цепей, генерация результирующей прошивки.
На данный момент основными производителями ПЛИС являются: Xilinx,
Altera, Actel, Lattice. [19]
1.3 ПЛИС семейства FLEX10K
ПЛИС семейств FLEX10K, FLEX10KA, FLEX10KE на данный момент,
самая популярная элементная база для реализации алгоритмов цифровой
обработки сигналов (ЦОС), построения сложных устройств обработки
данных и интерфейсов. Это объясняется тем, что благодаря большой
логической емкости, удобной архитектуре, включающей встроенные блоки
памяти, достаточно высокой надежности и удачному соотношению цена логическая
емкость
данные
ПЛИС
удовлетворяют
разнообразным
требованиям, возникающих у разработчика как систем ЦОС, так и устройств
управления, обработки данных и т.п. Учитывая эти особенности, для ячейки
информационного обмена была выбрана ПЛИС этого семейства. В таблице 1
приведены основные сведения о ПЛИС семейства FLEX10K. [2]
Таблица 1. Основные сведения о ПЛИС семейства FLEX10K
EPF10K10 EPF10K50 EPF10K70 EPF10K130 EPF10K250
Число эквивалентных
вентилей
Число лог. элементов
10000
50000
70000
130000
250000
576
2880
3744
6656
12160
15
Встроенные блоки
памяти
Объем памяти, бит
Максимальное число
выводов пользователя
3
10
9
16
20
6144
20480
18432
32768
40960
150
310
358
470
470
Обобщенная функциональная схема ПЛИС семейства FLEX10K
приведена на рис. 1. В основе архитектуры лежат логические блоки (ЛБ),
содержащие 8 логических элементов и локальную матрицу соединений
(ЛМС). Глобальная матрица соединений разделена на строки и столбцы,
имеет
непрерывную
встроенные
структуру.
Посередине
строки
располагаются
блоки памяти. Кроме того, имеются глобальные цепи
управления, синхронизации и управления вводом-выводом.
Встроенный блок памяти (ВБП) представляет собой ОЗУ емкостью
2048 (4096) бит и состоит из локальной матрицы соединений, собственно
модуля памяти, синхронных буферных регистров, а также программируемых
мультиплексоров. Сигналы на вход ЛМС ВБП поступают со строки ГМС.
Тактовые и управляющие сигналы поступают с глобальной шины
управляющих сигналов. Выход ВБП может быть скоммутирован как на
строку, так и на столбец ГМС.
Наличие синхронных буферных регистров и программируемых
мультиплексоров позволяет конфигурировать ВБП как запоминающее
устройство с организацией 256 х 8, 512х4, 1024х2, 2048х1.
Наличие
ВБП дает
возможность табличной
реализации
таких
элементов устройств ЦОС, как перемножители, АЛУ, сумматоры и т.п.,
имеющих быстродействие до 100 МГц (конечно при самых благоприятных
условиях,
реально
быстродействие
арифметических
устройств,
реализованных на базе ВБП составляет 10 – 50 МГц).
16
Рисунок 1. Архитектура ПЛИС FLEX10K
Все ПЛИС семейства FLEX10K совместимы по уровням с шиной PCI,
имеют возможность как последовательной, так и параллельной загрузки,
полностью поддерживают стандарт JTAG.
Элемент ввода-вывода (ЭВВ) ПЛИС семейства FLEX10K соединяет
канал строки или столбца ГМС с выводом микросхемы. ЭВВ позволяет
осуществить ввод-вывод бита данных с различными скоростями, временное
хранение данных, эмуляцию открытого коллектора. Наличие входного и
выходного регистра позволяют хранить данные, что снижает логическую
нагрузку на ЛЭ и высвобождает ресурсы ПЛИС для реализации других
функций. Скорость переключения буфера ЭВВ может быть задана при
конфигурации ПЛИС. Пониженная скорость переключения позволяет
снизить уровень импульсных помех и «звона» в системе. [4]
Основные параметры временной модели ПЛИС предоставлены в
приложении 1.
17
1.4 Quartus II – система проектирования ПЛИС
Quartus II (QII) — это система проектирования на ПЛИС Altera,
подходящая для всех выпускаемых семейств микросхем Altera. Это именно
система, т. к. она включает весь необходимый набор утилит, подпрограмм и
настроек для полного создания проекта. [3]
Все подпрограммы и утилиты QII можно разделить на группы согласно
этапам выполнения проекта на ПЛИС, которые выглядят следующим
образом:
- создание файла проекта
- анализ и синтез проекта
- трассировка связей и размещение проекта
- временной анализ при помощи утилиты Time Quest. При необходимости
создание базы данных для передачи в другую САПР для моделирования
- программирование конфигурационной памяти
Рассмотрим некоторые этапы детальнее.
1.4.1 Создание файла проекта
Файл проекта можно создавать на аппаратных языках, графическом
редакторе и редакторе конечных автоматов.
18
Рисунок 2. Файлы с описанием проекта
Аппаратные языки, применимые для QII, VHDL (расширение файла
*.vhd), Verilog (*.v) и System Verilog. [3]
При создании проекта может быть использован любой язык из
перечисленных выше.
Текстовый редактор можно использовать любой, но тот, который
встроен в QII, позволяет следующее:

вносить групповые комментарии;

возможность использования языковых шаблонов;

синтаксическая подсветка;

поиск по ключевому слову;

возможность сворачивания громоздких функций;

написание скриптов TСL.
Редактор памяти ROM. Очень удобная утилита для создания
собственных табличных значений. Например, можно создать таблицу
синусов (адрес — это аргумент функции, значение — это значение ячейки
памяти) или смоделировать сигнал в оболочке Mathlab и полученные
значения записать в таблицы памяти. Также такой редактор может
19
пригодиться для записи устойчивых состояний при создании, например,
модулятора. Значения можно записывать как в двоичном, так и в шестнадцатеричном представлении. Записанные значения будут храниться в конфигурационной памяти или флешпамяти, если речь идет о CPLD. [3]
Редактор конечных автоматов существует для создания автомата
состояний с назначением условий перехода в графическом виде (графами) с
возможностью последующего преобразования в HDL язык (любой) для возможности просмотра реализации вашего автомата.
Основное окно проекта кроме отображения самого проекта содержит
навигатор проекта, в котором описана иерархия файлов проекта и список
используемых библиотек, символов и файлов, а также окно состояния
компиляции (синтеза) проекта и окно сообщения ошибок и предупреждений.
Анализ и синтез
Синтез — это процесс создания проекта с учетом особенностей
микросхемы (ОЗУ, DSP-блоков, логики) для просмотра использования
ресурсов на раннем этапе. Реальное использование ресурсов матрицы будет
видно только после компиляции. Цифры, показанные в синтезе, могут отличаться до 20–30 %.
Для того, чтобы оценить правильно ли выполнена поведенческая
модель проекта после синтеза в Quartus II, можно просмотреть временные
диаграммы без учета трассировочных особенностей, хотя можно задать и
режим симуляции с привязкой ко времени.
Установки - это такие глобальные настройки, как:

выбор микросхемы (рис. 3), хотя он производится и при старте проекта;

оптимизатор синтеза (по времени);

простейшие установки фиттера (трассировщика);

установки анализатора оценки потребляемой мощности.
20
Рисунок 3. Окно выбора ПЛИС
С помощью анализатора мощности можно получить приблизительное
вычисление потребления мощности по результатам синтеза (с задачей
тактовой частоты и параметра, типа микросхемы, использования логических
элементов).
Также существует отдельный калькулятор мощности в оболочке Excel
для предварительной оценки ее потребления еще до выхода версии QII,
поддерживающей эту функцию в новых семействах. Однако точность такого
предварительного вычислении 50% называется эта утилита «Ранний оценщик
мощности».
На этапе синтеза можно оптимизировать лист связей двумя
способами:
1) получить после синтеза файл в гра- фическом редакторе RTLViewer,
отредактировать
его
и
снова
отпра-
вить
на
синтез
2) с помощью Gate-level оптимизации можно осуществлять временное
выравнивание между регистрами внутри матрицы, к примеру, время
прохождения сигнала между регист- рами R1 и Rn, равное 15 нс, выравнивается по соотношению 15/n.
21
Компиляция
В САПР QII существует два типа компиляции:

глобальная, т. е. проект компилируется весь одновременно. Такая
компиляция доступна в Веб-версии QII;

инкрементальная, т. е.частичная (см. рис. ниже). Доступна только в
лицензионной версии и Logic Lock.
Рисунок 4. Полная и инкрементальная компиляции
Перед запуском компиляции проекта необходимо выполнить настройки
(assigments).
Pin Planer - утилита, позволяющая назначать сигналы на физические
выводы микросхемы. Их можно назначить как жестко привязанные так и
перебрасываемые по определенным правилам (в пределах банка) для
улучшения результатов трассировки. [3]
22
Рисунок 5. Интерфейс утилиты Pin Planer
Тут-же назначаются группы связей, например это шина, которую
необходимо
выровнять
(при
этом
в
группе
можно
назначать
невыровненными, к примеру, старшие разряды для улучшения результатов
трассировки).
Выводы в Pin Planer разделяются формой и цветом для обозначения:

разделения на банки;

дифференциальных пар;

выводов ФАПЧ (фазовая автоподстройка частоты);

выводов питания и тех, которые не используются (серым цветом);

назначенных и не назначенных выводов.
Назначать выводы можно перетаскиванием из нижнего окна списка
сигналов. Практически всегда трассировку кристалла нужно согласовывать с
трcсировкой печатной платы (что-то получается в плате, но не получается в
матрице, и наоборот). Поэтому для трассировки плат Альтера рекомендует
23
пользоваться пакетом от компании Ментор-Графикс. Этот пакет работает с
нет-листом, переданным от САПР QII. При этом значительно упрощается
создание символа посадочного места корпуса, т. к. в Mentors Graphycs уже
имеется распиновка ПЛИС Альтера.
Для установок трассирования все чаще применяют TCL-скрипты. TCLскрипт на более низком уровне описывает конструкцию, которую вы
выбираете для интерпретации функционального поведения, описанного на
языке HDL. [3]
Chip Planer — утилита, позволяющая просматривать топологию
микросхемы и редактировать ее поле трассировки. Она применима в таких
случаях:

когда не устраивает работоспособность устройства;

не использовались рекомендации при трассировке;

неверное кодирование.
Прибегать к корректировке в Chip Planer рекомендуется лишь опытным
инженерам, имеющим достаточный опыт работы с ПЛИС.
Временное моделирование
Временное моделирование производится с помощью прилагаемого
(входящего
в
комплект
пакета QII)
программного
продукта Model
Sim от Mentors Graphycs, который поставляется в зависимости от вида
лицензии — с ограничением общего размера моделируемого кода (Starter
Edition) и без него (Altera Edition). Однако следует помнить, что ни одна из
поставляемых
вместе
с QII версий Model
Sim не
поддерживает
моделирование программ, написанных на 2-х языках одновременно
(двуязычие). Такое возможно лишь при использовании версии Model Sim
SE с соответствующей лицензией от Mentors Graphycs. При установке версии
SE создавать и отлаживать проекты становится возможным непосредсвенно в
самой среде Model Sim и лишь потом передавать их в QII для привязки к
конкретному корпусу ПЛИС. Но об этом, как и об использовании
24
утилиты Nativlink, поговорим в следующих статьях этого цикла.
В разрезе моделирования стоит также упомянуть Signal Tab. Эта
утилита представляет собой внутренний цифровой анализатор. Она забирает
ресурс памяти и логики в ПЛИС и записывает туда сигналы ее работы,
которые потом отражаются в виде диаграмм, однако нельзя посмотреть
тактовый сигнал. [3]
Программирование конфигурационной памяти или флеш CPLD
Программирование проверенного в симуляторе проекта
осуществляется тут же в QII при помощи утилиты Programmer
Рисунок 6. Утилита Programmer. Установка средств программирования
ПЛИС
Перед началом программирования необходимо выбрать средство из
предлагаемых, нажав кнопку Hardware Setup. Альтера предлагает различные
инструменты для программирования: через USB-порт (USB-Blaster) и через
порт Ethernet (Ethernet Blaster). Поддерживаемый ранее программатор через
LPT-порт (ByteBlaster) сейчас снят с производства. Перед стартом прожига
следует не забыть включить опцию Program/Configure, поставив галочку в
25
соответствующей колонке.
На этом можно завершить краткий обзор работы в среде QII, хотя за ее
пределами осталось множество деталей, зачастую оказывающихся очень
важными, но перечислить их все невозможно в рамках диплома.
На рис. 7 представлено окно
QII с изображением нашего проекта
ПЛИС.
Рисунок 7. Проект ПЛИС ячейки информационного обмена
26
Рисунок 8. Узел PIOX в проекте ПЛИС ячейки информационного
обмена
1.5 Вычислительный комплекс «Эльбрус-90микро» в
конструктиве cPCI в настольном исполнении
Вычислительные комплексы «Эльбрус-90микро», выполненные в
конструктиве «Евромеханика» в соответствии с требованиями стандарта
cPCI,
являются
высокопроизводительными
многопроцессорными
вычислительными системами, обеспечивающими многопользовательский,
многозадачный
режим
вычислений
в
реальном
масштабе
времени.
Предназначены для использования в стационарных системах управления и
обработки информации. ВК могут применяться в системах с жесткими
условиями эксплуатации.
Аппаратура вычислительных комплексов имеет сетевое оборудование
для обменов с другими ВК анало-гичного типа или с другими ВК и ЭВМ, а
27
также ряд ВК и ЭВМ, а также ряд интерфейсов как параллельного, так и
последовательного типа.
Комплексы могут поставляться в двух вариантах конструктивного
исполнения
корпусов:
встраиваемом
и
настольном.
Встраиваемые
конструкции могут дополняться конструктивными узлами для автономной
отладки.
Вычислительные комплексы данного конструктивного исполнения
используют систему воздушного охлаждения открытого типа. Устройство
охлаждения может располагаться в аппаратуре пользователя или быть
встроено в корпус поставляемого комплекса.
Рисунок 9. Два двухмашинных комплекса «Эльбрус-90микро». Встраиваемое
исполнение
Рисунок 10. «Эльбрус-90микро»
28
2 Ячейка информационного обмена и устройства, частью
которых она является
2.1 Радиолокационная станция
Ячейка информационного обмена входит в состав РЭУ, которое
является частью РЛС.
Радиолокационная станция (РЛС), радиолокатор, радар, устройство
для наблюдения за различными объектами (целями) методами радиолокации.
Основные узлы РЛС — передающее и приёмное устройства, расположенные
в одном пункте (т. н. совмещенная РЛС) или в пунктах, удалённых друг от
друга
на
некоторое
(обычно
значительное)
расстояние
(двух-
и
многопозиционные РЛС); в РЛС, применяемых для пассивной радиолокации,
передатчик отсутствует. Антенна может быть общей для передатчика и
приёмника (у совмещенной РЛС) или могут применяться раздельные
антенны (у многопозиционных РЛС). Важная составная часть приёмного
устройства РЛС (после собственно приёмника) — световой индикатор на
электроннолучевой трубке (ЭЛТ), а в современных (середины 70-х гг.) РЛС
наряду с индикатором — ЦВМ, автоматизирующая многие операции по
обработке принятых сигналов. [5] Основные характеристики РЛС: точность
измерений,
разрешающая
способность,
предельные
значения
ряда
параметров (максимальная и минимальная дальность действия, сектор и
время обзора и др.), помехоустойчивость. К основным характеристикам
относят
также
мобильность
РЛС,
её
массу,
габариты,
мощность
электропитания, срок службы, количество обслуживающего персонала и
многие др. эксплуатационные параметры. [8]
РЛС, частью которой является ячейка информационного обмена - это
станция раннего предупреждения о ракетном нападении.
29
2.2 Радиоэлектронное устройство, в состав которого входит
ячейка информационного обмена
2.2.1 Описание и работа РЭУ
РЭУ предназначено для обработки информации, поступающей в
реальном масштабе времени от других элементов системы, отображения
результатов обработки и формирования управляющей информации для этих
элементов.
Аппаратура РЭУ предназначена для эксплуатации в условиях группы
1.1 аппаратуры по ГОСТ РВ 20.39.304-98 умеренно-холодного (УХЛ)
климатического исполнения в закрытых помещениях.
2.2.2 Технические характеристики РЭУ
Электропитание
аппаратуры
РЭУ
(вместе
с
инженерным
оборудованием) осуществляется от трёхфазной сети переменного тока с
глухозаземлённой нейтралью напряжением (380±38) В и частотой (50±2,5)
Гц. Суммарная потребляемая мощность не превышает 18кВА.
Электропитание собственной функциональной аппаратуры РЭУ
осуществляется от однофазной сети напряжением (220±22) В и частотой
(50±2,5) Гц, потребляемая мощность не превышает 5кВА.
Для сохранения работоспособности РЭУ при отключениях первичного
электропитания в РЭУ на время не более 5 мин используется источник
беспроводного питания (ИБП).
2.2.3 Состав РЭУ
Вычислительные
средства
РЭУ
образуют
промышленная
ЭВМ
с
процессором и модулями ЦОС ADP 201Cp5 и ПЭВМ рабочих мест
операторов.
Модули ЦОС ориентированы на решение специальных задач
обработки сигналов, процессор и ПЭВМ – универсальные вычислительные
устройства.
Общая суммарная производительность вычислительных средств
30
превышает 20 GFLOPS. Оперативная память сосредоточена в указанных
вычислительных средствах.
На рис. 11 изображена схема электрическая функциональная РЭУ.
В таблице 2 приведён состав РЭУ.
Таблица 2. Состав РЭУ
Наименование
Шифр
1. РЭУ, в том числе:
1.1 Стойка, в том числе:
-
технологический
пульт
оператора
-
Обозначение
Количество
H09H
ПМ1.700.039
1
H09H-01
ПМ3.031.373
1
ATEN
1
CL-1000MR
промышленная
ЭВМ
в
корпусе базового крейта MIC-
ЛКНВ.466226.001
1
ADP201Cp5
СКУЮ.467459.037
2
1) субмодуль
FOTR3G
СКУЮ.468153.005
1
2) субмодуль
ADMDIO32
СКУЮ.468153.001
1
3043D-BE, в том числе:
а) модуль ЦОС, в том числе:
б) модуль CD
1
в) процессорная плата
MIC-3392A2-M2E
1
г) интерфейсная плата
RIO-3310BE
1
д) модуль мониторинга
MIC-39-27CE
1
HDD SATAII
е) жесткий диск
ж) ячейка
3Д-Я202
- блок электророзеток на 6
позиций
с
автоматическим
выключателем
-
источник
беспроводного
питания
- сетевой Ethernet-коммутатор
Наименование
термостат
индикатором
с
Eaton 9130 UPS 3000VA
1
3Com HP
1
3CBLSF26H
ПМ5.883.099
Schroff
60715-132
1
2
60110-212
Шифр
цифровым
ПМ.2.083.159
Schuko
- установка вентиляторов
-
1
750 GB
1
Обозначение
Количество
1
31
- розетка
PA16-003/1
- распределительная панель
ТУ
3464-008-
07610246-94
ПМ5.284.480
1
1
- комплект кабелей согласно
спецификации ПМ3.031.373
1.2
Контейнер
1
для
размещения аппаратуры
1.3 Установка розеток
1.4
ПЭВМ,
в
том
числе
каждая:
ПМ4.056.264
1
ПМ5.889.849
3
ЛКНВ.466215.007
2
- монитор19”
Nec MultiSync LCD
2
- клавиатура
KEY-104 Logitech PS/2
1
Logitech opt PS/2
1
- манипулятор
1.5 ПЭВМ, в том числе:
- монитор 27”
-
индикатор
коллективного
пользования
- клавиатура
- манипулятор
1.6 Принтер сетевой
ЛКНВ.466215.007
1
Philips 237E3L
1
SHARP 65” G655E
1
KEY-104 Logitech PS/2
1
Logitech opt PS/2
1
HP Color LaserJet
r 2025dn
1
Работа РЭУ осуществляется в соответствии с общим алгоритмом
работы системы, реализованном в виде программ функционального
программного обеспечения (ФПО), с помощью которых в реальном масштабе
времени решают следующие задачи:
- приём данных (кодов выборки сигнала порядка 256 Кбайт за такт (Таи –
Тап)) от приёмного устройства по двум каналам типа «витая пара» в модули
ЦОС ADP201сР5 через субмодули ADMDIO32. Здесь Таи – время начала
излучения, Тап – время конца излучения;
- пространственная, спектральная и пороговая обработка полученных данных
в модулях ЦОС и передача результатов обработки в процессор;
- формирование режимов работы системы, параметров излучения и
обработки сигналов. Эти функции распределены по РМО;
32
- выдача управляющей информации всем устройствам системы (приёмному
устройству, и двум синхронизаторам) и получение от устройств системы
информации об их состоянии.
Эти функции выполняются с помощью ячейки информационного
обмена. Этот же модуль от РЭУ Н07СТ получает четыре сигнала
синхронизации, которые далее транслируются в модули ЦОС ADP201cP5;
-
функциональный
контроль
РЭУ,
который
выполняется
во
всех
программируемых модулях (ПЭВМ РМО, процессоре, модулях ЦОС) с
привлечением и программ ФПО, и программ СТПО;
- отображение, регистрация и документирование результатов обработки
информации. При этом информация для отображения формируется в ПЭВМ
РМО и передаётся на их мониторы, включая индикатор коллективного
пользования,
а
регистрация
и
документирование
осуществляется
преимущественно в HDD через контроллер RIO. Часть информации в
квазиреальном времени может печататься на принтере.
На
этапах
комплексной
проверки
системы
РЭУ
реализует
комплексную имитационную модель станции (КИМС). Кроме этого, в
соответствии с общим алгоритмом работы системы в квариреальном времени
РЭУ проводит обработку информации с целью калибровки и юстировки РЛС.
Аппаратура РЭУ может находится в следующих состояниях
готовности:
- включено (ВКЛ) – состояние аппаратуры, при котором она включена и по
данным функционального контроля исправна;
- отключено (ОТКЛ) – состояние аппаратуры, при котором вторичные
источники питания отключены.
Конструкция РЭУ должна обеспечивать эксплуатацию оборудования
при рабочих температурах от плюс 5 до плюс 40 °С и в условиях
повышенной влажности – не более 80 % при температуре 25 °С.
При эксплуатации РЭУ используются: комплект измерительный К540
(ток, напряжение, мощность); частотомер Ф5043; миллиомметр Е6-18/1.
33
Рисунок 11. Схема электрическая функциональная РЭУ
34
2.3 Технические требования к ячейке информационного обмена
Целью разработки является создание ячейки для информационного
обмена ЭВМ «Эльбрус 90 микро» и абонентов:
- абонент 1 (АБ1) – антенно-фидерное устройство;
- абонент 2 (АБ2) – приёмное устройство;
- абонент 3 (АБ3) – устройство опорных сигналов синхронизации;
- абонент 4 (АБ4) – передающее устройство.
Рассмотреть возможность реализации интерфейса абонентов:
- абонент RS0 (АБ5) – опорно-поворотное устройство;;
- абонент RS1 (АБ6)- резерв;
- абонент RS2 (АБ7)- резерв.
Требования назначения
 Ячейка конструктивно и электрически должна сопрягаться с шиной cPCI
(напряжение 5В) промышленной ЭВМ.
 Ячейка должна обеспечивать прием, хранение и выдачу информации
абонентам.
 Ячейка должна иметь 7 последовательных каналов для обмена с
абонентами.
Временные диаграммы обмена с абонентами представлены в приложении 1.
Обмен с абонентом АБ5 должен проходить по интерфейсу RS-232, с
абонентом
АБ6 и АБ7 - RS-485. Ячейка должна иметь возможность использовать
интерфейсы абонентов АБ6,
АБ7 как один интерфейс RS-422. Скорость
обмена с абонентами АБ5, АБ6, АБ7 должна задаваться коэффициентом
деления от максимальной скорости 115200 бит/c до минимальной 2400 бит/c
по интерфейсу ADM-PIOX (см. 3.1.5) и проходить с одним стартовым, одним
стоповым и одним контрольном битом до нечетности.
 Ячейка должна иметь ОЗУ объемом достаточным для размещения одного
массива переданной и одного массива принятой информации для каждого
35
абонента.
 Ячейка должна поддерживать интерфейс ADM-PIOX в режиме LVTTL
(далее по тексту PIOX) для полудуплексного обмена с устройством
формирования массивов.
 Ячейка должна иметь возможность транслировать четыре сигнала от
устройства синхронизации на интерфейс SYNX
модуля ADP201cP5, а
также сигнал T1Гц как прерывание на шину cPCI. Трансляция сигналов
разрешается и запрещается командой по интерфейсу PIOX. Линия
прерывания шины cPCI выбирается командой по интерфейсу PIOX .
 Ячейка должна предоставлять возможность функционального контроля
последовательных каналов по командам интерфейса PIOX.
Требования живучести и стойкости к внешним воздействиям:
 По живучести и стойкости к внешним воздействиям ячейка должна
соответствовать требованиям группы 1.1 УХЛ по ГОСТ В20.39.304-98 .
 При воздействии климатических факторов в условиях эксплуатации,
должны сохранятся технические и эксплуатационные характеристики в
пределах
требований
и
норм,
установленных
в
подразделе
2.1
ОТТ ПМ0.100.081 для группы 1.1. УХЛ.
Требования надежности:
время наработки на отказ - не менее 30000 ч.
Требования к эксплуатации, хранению, удобству техническо-го
обслуживания и ремонта:
изделие должно быть ремонтопригодно. При сложных неисправностях
ремонт производится на заводе изготовителе.
Требования
к
хранению
в
заводской
таре
предъявляются
в
соответствии с п. 12.11 ОТТ ПМ0.100.081.
36
Требования технологичности:
производственная, эксплуатационная и ремонтная технологичность
должна
удовлетворять
нормативным
«Указаниях по технологии»
требованиям,
приведенным
в
ПМ0.100.081, соответствовать требованиям
ОТТ ПМ0.100.081 и обеспечивать достижение заданных технических
требований при минимальных затратах по их изготовлению, техническому
обслуживанию и ремонту.
Конструктивные требования:
ячейка должна разрабатываться в соответствии с документом "Общие
указания по конструированию" ПМ0.100.081. Ячейка конструктивно должна
отвечать требованиям для устройств cPCI функционирующих
в составе
промышленной ЭВМ.
По
окончании
разработки
должна
быть
представлена
КД
в
соответствии с комплектностью технических документов.
2.4 Назначение ячейки информационного обмена
Ячейка 3Д-Я202 (ячейка информационного обмена) предназначена для
информационной связи РЭУ с другими устройствами (абонентами) РЛС.
2.4.1. Интерфейсы ячейки информационного обмена
Ячейка 3Д-Я202 имеет 7 последовательных интерфейсов для обмена с
абонентами и один интерфейс PIOX для информационного обмена с ячейкой
цифровой обработки сигналов (ЦОС) ADP201cP5.
Порядок взаимодействия ячейки ЦОС ADP201cP5 и ячейки 3Д-Я202:
- запись по интерфейсу PIOX данных для передачи абоненту;
- передача ячейкой 3Д-Я202 данных абоненту и получение ответа
по
последовательному каналу;
- чтение ячейкой ЦОС ADP201cP5 по интерфейсу PIOX полученных данных.
Ячейка имеет следующие последовательные интерфейсы:
- четыре интерфейса СК с самосинхронизирующимся кодом;
- два интерфейса RS-485;
37
- один интерфейс RS-232.
Каждый интерфейс управляется контроллером, имеющим две области
ОЗУ для хранения выдаваемой и принимаемой информации. Объем ОЗУ
контроллеров приведен в таблице 3.
Таблица 3. Объем ОЗУ контроллеров
Контроллер
Размер ОЗУ
интерфейса
на чтение
на запись
СК-1
256x16 бит
256x16 бит
СК-2
64x16 бит
64x16 бит
СК-3
64x16 бит
64x16 бит
СK-4
64x16 бит
64x16 бит
RS-485-1
64x16 бит
64x16 бит
RS-485-2
64x16 бит
64x16 бит
RS-232-1
64x16 бит
64x16 бит
Ячейка 3Д-Я202 также производит прием и трансляцию четырех
сигналов получаемых от синхронизатора.
Последовательный интерфейс “СК”
Обмен с абонентами АФУ, приемное устройство, передающее
устройство,
синхронизатор
производиться
самосинхронизирующимся
последовательным кодом. Временная диаграмма обмена представлена на рис.
12 и 13.
38
Рисунок 12. Временная диаграмма обмена информацией последовательных СК кодом
Рисунок 13. Временная диаграмма выдачи 10-разрядного слова с двумя контрольными битами
39
Информация передается младшими битами вперед. Слово передачи
имеет размер 10 бит в котором 8 бит информационные и 2 бита контрольные.
Контрольные биты стоят на месте 5 и 10 бита.
Передатчиком является микросхема с открытым эмиттером 559ИП4,
приемником -559ИП5. Вход приемной микросхемы шунтирует на землю
согласующий резистор номиналом 82 Ом.
Последовательный интерфейс RS-485
Интерфейс RS-485 использует приемопередатчик 5559ИН2Т. Между
выходными
линиями А и B стоит согласующий резистор 120 Ом, лини
подтянуты к земле и к +5 В через резисторы 1200 Ом. Абонент, с которым
будет производиться обмен также должен иметь подтягивающие резисторы
1200 Ом.
Скорость обмена задается в диапазоне от 115200 бит/c до 2400 бит/c.
Передача ведется по 8 бит младшими битами вперед с одним стартовым,
одним стоповым и одним контрольным битом до четности.
Для подключения дренажного провода на разъеме XT6 контакты 14 и
15 соединены через резистор 120 Ом со схемной землей (цепь ”Корпус 120
Ом ”).
Протокол обмена предусматривает интервал тишины перед посылкой
длиной 22 бита. Внутри посылки биты идут вплотную. Контроллер по
команде, переданной по
интерфейсу PIOX, передает посылку (размер
посылки определяет значение регистра CR_outlength), после чего ожидает
прихода ответа (размер ожидаемой
ответной посылки определяется в
регистре CR_inlength). В случае, если ответная посылка не принята за время,
определенное в регистре CR_timer, формируется ошибка.
Последовательный интерфейс RS-232
Контроллер интерфейса RS-232 аналогичен контроллеру интерфейса
RS-485.
Интерфейс RS-232 использует приемопередатчик 5559ИН1Т.
На рис. 14-16 изображена ячейка информационного обмена.
40
Рисунок 14. Ячейка информационного обмена
41
Рисунок 15. Ячейка информационного обмена
42
Рисунок 16. Ячейка информационного обмена
43
2.5 Интерфейс PIOX
Интерфейс представляет собой 16 параллельных линий данных PIO и
две управляющие линии PIO_WR и PIO_RD. Временные диаграммы чтения и
записи одного слова представлены на рис. 17 и 18.
PIOWR
Twr
PIO
DATA(n-1)
DATA(n)
0ns
Рисунок 17. Временная диаграмма записи в ячейку 3Д-Я202
PIORD
PIO
Trd
DATA(n-1)
DATA(n)
Tsetup
Thold
Рисунок 18. Временная диаграмма чтения из ячейки 3Д-Я202
Tsetup – время предустановки (не менее 20 нс)
Thold – время удержания (не менее 5 нс)
Twr, Tdr – время обмена (не менее 400 нс)
Обмен данными с ячейкой 3Д-Я202 по интерфейсу PIOX
Обмен
данными
с
ячейкой
3Д-Я202
по
интерфейсу
PIOX
производиться пакетами.
Пакет начинается с записи заголовка пакета. В младших восьми битах
заголовка записан адрес регистра или адрес ОЗУ, которому предназначены
данные из информационной части пакета. В старших восьми битах записана
44
длина информационной части пакета минус один (N-1). После записи
заголовка следуют информационная часть в виде N циклов записи (или
чтения). Для регистровой передачи N равно 1. Между пакетами разрешено
чтение, результатом которого будет значение регистра состояния GR_status.
Описанный протокол обмена изображен на рис. 19.
ЗАПИСЬ> Заголовок пакета = Кол слов информационной части(N-1)+ Адрес.
Пакет
ЗАПИСЬ (ЧТЕНИЕ)> Цикл передачи 1.
…
ЗАПИСЬ (ЧТЕНИЕ)> Цикл передачи N.
ЧТЕНИЕ> Регистр состояния GR_status
Пакет
ЗАПИСЬ> Заголовок пакета = Кол слов информационной части(N-1)+ Адрес.
ЗАПИСЬ (ЧТЕНИЕ)> Цикл передачи 1.
…
ЗАПИСЬ (ЧТЕНИЕ)> Цикл передачи N.
Рисунок 19. Протокол обмена по PIOX
Адресация при передачах данных по интерфейсу PIOX
По интерфейсу PIOX доступны:
- общие регистры ячейки;
- регистры контроллеров интерфейсов;
- ОЗУ контроллеров интерфейсов.
Общие регистры – регистры GR_status, GR_reset, GR_start, GR_lock,
GR_trans, GR_sum, GR_info влияющие на работу всех контроллеров
интерфейсов.
Регистры контроллера – регистры CR_err, CR_count, CR_outlength,
CR_inlength, CR_timer, CR_rate, CR_setting влияющие на работу только того
контроллера интерфейса, которому принадлежат. Контроллеры
“СК-
каналов” не имеют регистра CR_rate.
45
Адрес состоит из трех частей:
7
6
5
4
Номер регистра
3
Модификатор
2
1
0
Номер канала
Разряды 3,4 определяют тип передачи.
4р
3р
Тип передачи
0
0
обращение к ОЗУ передатчика контроллера
0
1
обращение к ОЗУ приемника контроллера
1
0
обращение к регистру контроллера
1
1
обращение к общему регистру
Разряды 0-2 определяют номер канала и имеют смысл только при
обращении к ОЗУ или регистру контроллера.
2р
1р
0р
Номер канала
0
0
0
канал 0 (АФУ)
0
0
1
канал 1 (передатчики)
0
1
0
канал 2 (приемники)
0
1
1
канал 3 (синхронизатор)
1
0
0
канал 4 (RS-485-1)
1
0
1
канал 5 (RS-485-1)
1
1
0
канал 6 (RS-232 -1)
1
1
1
канал 7 (RS-232 -2)
Канал 7 используется только для контроля работоспособности канала 6
и не учувствует в работе РЭУ.
Разряды 5-7 определяют номер регистра
7р
6р
5р
Модификатор
10
Модификатор
11
0
0
0
CR_err
GR_status
0
0
1
CR_count
GR_start
0
1
0
CR_setting
GR_reset
0
1
1
CR_timer
GR_lock
1
0
0
CR_outlength
GR_sum
1
0
1
CR_inlength
GR_trans
1
1
0
CR_rate
GR_info
46
1
1
резерв
1
резерв
Таблица 4. Распределение адреса ЗУ ячейки
Адрес
шестнадца-
двоичный
Адресуемый объект
теричный
7
6
5
4
3 2
1 0
00
0
0
0
0
0 0
0 0
ОЗУ передатчика канала 0
01
0
0
0
0
0 0
0 1
ОЗУ передатчика канала 1
02
0
0
0
0
0 0
1 0
ОЗУ передатчика канала 2
03
0
0
0
0
0 0
1 1
ОЗУ передатчика канала 3
04
0
0
0
0
0 1
0 0
ОЗУ передатчика канала 4
05
0
0
0
0
0 1
0 1
ОЗУ передатчика канала 5
06
0
0
0
0
0 1
1 0
ОЗУ передатчика канала 6
08
0
0
0
0
1 0
0 0
ОЗУ приемника канала 0
09
0
0
0
0
1 0
0 1
ОЗУ приемника канала 1
0A
0
0
0
0
1 0
1 0
ОЗУ приемника канала 2
0B
0
0
0
0
1 0
1 1
ОЗУ приемника канала 3
0C
0
0
0
0
1 1
0 0
ОЗУ приемника канала 4
0D
0
0
0
0
1 1
0 1
ОЗУ приемника канала 5
0E
0
0
0
0
1 1
1 0
ОЗУ приемника канала 6
18
0
0
0
1
1 0
0 0
регистр GR_status
38
0
0
1
1
1 0
0 0
регистр GR_start
58
0
1
0
1
1 0
0 0
регистр GR_reset
78
0
1
1
1
1 0
0 0
регистр GR_lock
98
1
0
0
1
1 0
0 0
регистр GR_sum
B8
1
0
1
1
1 0
0 0
регистр GR_trans
D8
1
1
0
1
1 0
0 0
регистр GR_info
10+ N кан.
0
0
0
1
0 N канала
регистр CR_err
30+ N кан.
0
0
1
1
0 N канала
регистр CR_count
50+ N кан.
0
1
0
1
0 N канала
регистр CR_setting
70+ N кан.
0
1
1
1
0 N канала
регистр CR_timer
90+ N кан.
1
0
0
1
0 N канала
регистр CR_outlength
B0+ Nкан.
1
0
1
1
0 N канала
регистр CR_inlength
D0+ N кан.
1
1
0
1
0 N канала
регистр CR_rate
47
Общие регистры ячейки 3Д-Я202
1) Регистр состояния ячейки (GR_status)
Регистр отображает состояние последовательных каналов ячейки и доступен
только для чтения.
Информация регистра:
0р
канал 0 занят
1р
канал 1 занят
2р
канал 2 занят
3р
канал 3 занят
4р
канал 4 занят
5р
канал 5 занят
6р
канал 6 занят
7р
ошибка обмена по интерфейсу PIOX
8р
канал 0 неисправен
9р
канал 1 неисправен
10р
канал 2 неисправен
11р
канал 3 неисправен
12р
канал 4 неисправен
13р
канал 5 неисправен
14р
канал 6 неисправен
15р
разрешена трансляция сигналов синхронизатора
2) Регистр запуска каналов (GR_start)
Пуск канала происходит автоматически по окончанию записи пакета в ОЗУ
контроллера канала. Если требуется запустить контроллер без передачи новой
информации в ОЗУ необходимо записать единицу в соответствующий бит
контроллера.
Регистр доступен только на запись.
Информация регистра:
0р
запуск канала 0
1р
запуск канала 1
2р
запуск канала 2
48
3р
запуск канала 3
4р
запуск канала 4
5р
запуск канала 5
6р
запуск канала 6
7р
запуск канала 7
3) Регистр сброса каналов (GR_reset)
Предназначен для прерывания работы контроллера. Доступен только для
записи.
Для перезапуска работающего контроллера необходим цикл записи в регистр
сброса, так как повторный пуск работающего контроллера не изменяет его
состояния.
Информация регистра:
0р
сброс канала 0
1р
сброс канала 1
2р
сброс канала 2
3р
сброс канала 3
4р
сброс канала 4
5р
сброс канала 5
6р
сброс канала 6
7р
сброс канала 7
4) Регистр блокировки пуска каналов (GR_lock)
Дает возможность блокировки пуска канала по концу записи пакета данных
в ОЗУ контроллера.
Для блокировки пуска необходимо записать 1. Доступен
только для записи.
При необходимости чтения передающего ОЗУ также необходимо запрещать
автоматический пуск каналов. В противном случае в конце чтения передающего
ОЗУ канал будет запущен.
49
Информация регистра:
0р
блокировка пуска канала 0
1р
блокировка пуска канала 1
2р
блокировка пуска канала 2
3р
блокировка пуска канала 3
4р
блокировка пуска канала 4
5р
блокировка пуска канала 5
6р
блокировка пуска канала 6
7р
блокировка пуска канала 7
5) Регистр контроля целостности пакета (GR_sum)
Регистр содержит сумму всех переданных данных в последнем пакете.
Доступен только для чтения.
6) Регистр управления трансляцией сигналов синхронизатора (GR_trans)
Доступен только на запись. Информация регистра:
0р
разрешение трансляции Таи на разъем SYNX
1р
разрешение трансляции Тап на разъем SYNX
2р
разрешение трансляции Т1Гц на разъем SYNX
3р
разрешение трансляции Трезерв на разъем SYNX
4р
разрешение трансляция Т1Гц на линию INTA сPCI
5р
разрешение трансляция Т1Гц на линию INTB сPCI
6р
разрешение трансляция Т1Гц на линию INTC сPCI
7р
разрешение трансляция Т1Гц на линию INTD сPCI
7) Регистр информации о ячейке (GR_info)
Доступен только для чтения. Содержит значение 0x1.
Регистры контроллеров последовательных интерфейсов ячейки 3Д-Я202
Регистры этой группы, за исключением регистра CR_rate, есть в каждом
контроллере интерфейса. Заполнение регистров необходимо проводить до
использования контроллера в противном случае контроллер будет работать
некорректно.
50
1) Регистр ошибок (CR_err)
Доступен только для чтения. Содержит информацию об ошибках в работе
последовательного канала. Вся информация относиться к последней передаче по
каналу, в начале новой передачи регистр сбрасывается.
0р
1р
контроллер занят
ошибка канала –
принятая информация не может считаться действительной
сбой канала –
2р
принятая информация считаться действительной, однако
были зафиксированы ошибки
3р
4р
5р
ошибка формата посылки –
формируется если принятая посылка имеет неверною структуру
ошибка четности
ошибка доступа –
формируется если работа контроллера прервана из-за действий по PIOX
превышение времени ожидания ответа –
6р
формируется, если не был полностью получен ответ за отведенное для
этого время.
2) Регистр счетчик ошибок (CR_count )
Регистр предоставляет информацию о количестве зарегистрированных
ошибок четности в канале. Сброс счетчика происходит при сбросе контроллера по
команде с PIOX, ошибке времени ожидания ответной посылки, обращении по
PIOX к памяти занятого контроллера.
Только в СК канале.
Доступен только для чтения.
0р – 6 р
значение счетчика ошибок канала
7р
переполнение счетчика ошибок канала
8р – 14р
значение счетчика сбоев канала
15р
переполнение счетчика сбоев канала
51
3) Регистр установки режимов канала (CR_setting )
Доступен только для записи. Информация регистра:
0р
1р
количество посылок в CK канале.
2р
передать посылку со сбоем передачи (только для СК канала)
3р
передать посылку с ошибкой четности
Установка значения 0 в битах 0 и 1 не разрешена.
4) Регистр времени ожидания (CR_timer)
Доступен только для записи. Определяет максимальное время отведенное
для приема ответа. При превышении времени ожидания формируется ошибка.
Единице значения соответствует время 100 нс. При записи значения 0x0 контроль
времени отключается. Отсчет времени идет от пуска канала.
5) Регистр количества слов для передачи (CR_outlength)
Регистр определяет количество слов для передачи в канале.
Доступен только для записи.
6) Регистр количества слов для приема (CR_inlength)
Регистр определяет количество слов принимаемых каналом RS.
Для СК каналов, где есть признаки начала и конца принимаемой посылки значение
этого регистра игнорируется.
Доступен только для записи.
7) Регистр скорости передачи (CR_rate )
Есть только в контроллерах RS каналов. Доступен только для записи. В него
записывается коэффициент деления скорости передачи в диапазоне от 0 до 48.
Коэффициенту 0 соответствует скорость 115200 бит/c.
52
3. Язык описания аппаратуры цифровых систем VHDL
3.1 Описание языка
VНDL (англ. VHSIC (Very
high
speed
integrated
circuits) Hardware Description Language) - язык описания аппаратуры интегральных схем. Язык проектирования VНDL является базовым языком при разработке
аппаратуры современных вычислительных систем. [10]
Язык разработан в 1983 г. по заказу Министерства обороны США для
формального описания логических схем для всех этапов разработки электронных
систем, начиная модулями микросхем и заканчивая крупными вычислительными
системами. [6]
Изначально VHDL предназначался для моделирования, но позднее из него
было
выделено
синтезируемом
синтезируемое
подмножестве
подмножество.
позволяет
Написание
автоматический
модели
синтез
на
схемы
функционально эквивалентной исходной модели. Средствами VHDL возможно
проектирование на различных уровнях поведенческом или алгоритмическом,
регистровых передач, структурном), в соответствии с техническим заданием и
предпочтениями
разработчика.
Заложена
возможность
иерархического
проектирования, максимально реализующая себя в экстремально больших
проектах с участием большой группы разработчиков. Представляется возможным
выделить следующие три составные части языка: алгоритмическую — основанную
на языках Ada и Pascal и придающую языку VHDL свойства языков
программирования; проблемно ориентированную — в сущности и обращающую
VHDL в язык описания аппаратуры; и объектно-ориентированную, интенсивно
развиваемую в последнее время. [7]
Стандартами 1987, 1991, 1993, 1996, 1997, 1999, 2000, 2002 и 2008 гг.
закреплено множество его усовершенствований, например начиная со стандарта
VHDL-2000, язык приобретает основы объектно-ориентированной парадигмы.
Стандарт
VHDL-93
является
последним,
полностью
поддерживаемым
средствами систем автоматизированного проектирования стандартом.
Данный язык создан как средство описания цифровых систем, но существует
53
подмножество языка - VHDL AMS (Analog Mixed Signal), позволяющее описывать
как чисто аналоговые, так и цифро-аналоговые схемы.
Он как и Verilog поддерживается большинством производителей ПЛИС, в то
время как AHDL разрабатывался исключительно для Альтеры. [6]
Основное отличие от обычных языков программирования типа Си, Паскаля в
том, что VHDL описывает параллельные процессы. Если код на Си или Паскале у
нас выполняется по очереди команда за командой, то на VHDL разные блоки
программы выполняются параллельно друг другу, но в тоже время в VHDL есть
часть команд, которые выполняются последовательно. Поэтому структура
программы
в
корне
отличается
от
привычной
микроконтроллерной.
Структура программы
Процесс программирования на VHDL чем то напоминает создание принципиальной схемы устройства.
Шаг 1: Включение в код используемых библиотек.
Шаг 2: Описание точек входа и точек выхода устройства (аналогично входам и выходам принципиальной схемы всего устройства).
Шаг 3: Описание точек входа и выхода элементов входящих в устройство
(аналогично назначению функций ногам контроллера и другой логике в схеме)
Шаг 4: Описание архитектуры элементов входящих в устройство (вроде подбора
логики типа ИЛИ-НЕ, И-НЕ, вобщем описание того как выход элемента завязан с
его входом)
Шаг 5: Описание архитектуры всего устройства (типа соединения проводниками
всех элементов схемы)
54
3.2 Описание разработанной программы
Программа узла PIOX выполнена на языке VHDL и является частью проекта
конфигурации ПЛИС ячейки 3Д-Я202 , выполненного с использованием системы
автоматизированного проектирования Quartus II.
Программа реализует функции, предъявляемые заказчиком к специальному
интерфейсу, предназначенному для информационного обмена с ячейкой цифровой
обработки(ЦОС) ADP201cP5, являющейся частью вычислительного комплекса
РЛС и выполняет следующие задачи:
- формирует границы (BORDER), внутри которых данные сопровождаются
стробами DOUBLE_STROB_OUT и SINGLE_STROB_OUT, предназначенными
соответственно для записи данных в память одного из контроллеров (двойной
строб) или в один из регистров общего назначения или регистра контроллера
(одиночный строб);
- формирует границы, внутри которых данные сопровождаются стробами
DOUBLE_STROB_IN и SINGLE_STROB_IN, предназначенными соответственно
для чтения данных из памяти одного из контроллеров (двойной строб) или данных
одного из регистров общего назначения или регистра контроллера (одиночный
строб);
- формирование парных и одиночных стробов записи и чтения производится
из входных сигналов PIO_WR и PIOX_RD с использованием синхрочастоты CLK;
- восьмиразрядный адрес памяти или регистра ADDR[7..0], к которым
обращается интерфейс, выделяется из младшего байта заголовка пакета входных
данных PIOX_DATA_IN[15..0], формат которого представлен в разделе2.5
дипломного проекта;
- количество формируемых двойных и одиночных стробов, а также
длительность сопровождающего их строба BORDER определяется содержимым
старшего байта заголовка пакета, в котором содержится количество 16-разрядных
слов информационной части пакета PIOX_DATA_IN[15..0] минус один;
- программа производит буферизацию данных, предназначенных для записи
55
PIOX_DATA_IN[15..0] и чтения PIOX_DATA_OUT[15..0] по интерфейсу PIOX;
- программа производит побитное сложение по mod2 всех слов пакета для
реализации
возможности
выдачи
подсчитанной
суммы
пакета
PIOX_PACK_SUM[15..0] через регистр общего назначения GR_SUM для контроля
целостности пакета со стороны вычислительного комплекса.
Программа оформлена в виде символа «PIOX», входящего в состав проекта ячейки
3д-Я202.
Текст программы приведён в приложении 4.
На рис. 20 представлена схема алгоритма программы
56
Частота CLK
Команда sPIOX_RD
MAIN
STATE [1...0]
Формирование значений шины
состояния “STATE”
BORDER_DRIVE
BORDER
Формирование границ, внутри которых
данные сопровождаются стробами
PIOX_DATA_IN
sPIOX_WR
ADDR_NDRIVE
Формирование адреса из заголовка
пакета PIOX_DATA_IN (из младшего
байта первого слова пакета)
STROB_OUT_DRIVE
Формирование стробов на запись в
память / регистр
STROB_IN_DRIVE
Формирование стробов чтения из
памяти / регистра
aPIOX_WR
DATA_OUT
DATA_OUT_DRIVE
Буфер на выходные данные
ADDR [7...0]
DAUBLE_STROB_OUT
SINGLE_STROB_OUT
DAUBLE_STROB_IN
SINGLE_STROB_IN
DATA_OUT [15...0]
DATA_IN
Delay_sPIOX_RDRIVE
Выравнивание сигнала команды
sPIOX_RD
DATA_IN
PIOX_DATA_OUT
Рисунок 20. Схема алгоритма программы
57
4 Расчет надежности ячейки информационного обмена
Расчет
показателей
надежности
ячейки
информационного
обмена
произведем с помощью программы АСРН (Автоматизированная система расчета
надежности).
АСРН разработана на базе справочника "Надежность электрорадиоизделий"
и позволяет рассчитывать надежность модулей 1-го и 2-го уровней без
резервирования в режиме эксплуатации (только для отечественных ЭРИ) и
хранения в составе подвижных и неподвижных объектов. Система снабжена
генератором отчетов, а также конвертором результатов расчета в формат HTML, а
также базой данных импортных ЭРИ, формируемой пользователями. [17]
АСРН позволяет производить поиск по базе данных отечественных ЭРИ на
предмет наличия. В случае положительного ответа ЭРИ будет выведен в дереве
класс-группа-тип и на правой панели отобразятся все поля, которые необходимо
заполнить. Поиск ЭРИ осуществляется по частичному соответствию без учета
регистра до первого вхождения искомого фрагмента в базу данных типов ЭРИ.
АСРН позволяет рассчитывать надежность выбранного модуля 1-го или 2-го
уровня в режимах эксплуатации и хранения. [17]
После выбора всех необходимых исходных данных:
- режима,
- отчета,
- группы аппаратуры.
становится активной кнопка "Просмотр". Нажатием на кнопку запускается процесс
расчета суммарной интенсивности отказов выбранного модуля и одновременная
генерация отчета. Сгенерированный отчет для модуля 1-го уровня содержит
информацию об интенсивности отказов всех входящих в него ЭРИ и суммарную
интенсивность отказов модуля. Если хотя бы один электрорадиоэлемент не
просчитан (например, расчет в режиме хранения для импортных ЭРИ), то
суммарная интенсивность отказов не выводится. Сгенерированный отчет для
модуля 2-го уровня содержит информацию об интенсивности отказов всех
входящих в него модулей 1-го уровня (с ЭРИ), интенсивности отказов
58
непосредственно входящих в модуль 2-го уровня ЭРИ и суммарную интенсивность
отказов модуля. Если хотя бы один электрорадиоэлемент не просчитан (например,
расчет в режиме хранения для импортных ЭРИ), то суммарная интенсивность
отказов не выводится как для модуля 1-го уровня, так и для модуля 2-го уровня.
Сгенерированный
отчет
можно
распечатать
(идет
повторный
пересчет
интенсивности отказов для передачи на канву принтера) выбрав предварительно
тип принтера.
Расчет показателя надежности приведен ниже в таблице 5.
59
Таблица 5. Расчет надежности ячейки
Тип ЭРИ
Количество
Схемная
позиция
Коэффициенты моделей
б (бсг)
Интегральные микросхемы
559ИП5
1
DD1
1.9·10-8
Кпр = 1
-8
561ЛН2
4
DD10..DD13
1.9·10
Кпр = 1
-8
EPF10K50RI240-4
1
DD14
1.9·10
Кпр = 1
5559ИН1Т
1
DD15
1.9·10-8
Кпр = 1
-8
5559ИН2Т
2
DD16..DD17
1.9·10
Кпр = 1
-8
559ИП5
2
DD19, DD20
1.9·10
Кпр = 1
1554АП4
5
DD2..DD6
1.9·10-8
Кпр = 1
-8
559ИП4
2
DD21..DD22
1.9·10
Кпр = 1
-8
530ЛА3 ММ
1
DD7
1.9·10
Кпр = 1
1533ЛИ1
2
DD8,DD18
1.9·10-8
Кпр = 1
-8
530ТМ2 ММ
1
DD9
1.9·10
Кпр = 1
EPCPI8
1
DS1
1.9*10-8 Кпр = 1
Приборы пьезоэлектрические и фильтры электромеханические
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Ккорп = 1
Ккорп = 3
Ккорп = 1
Ккорп = 3
Ккорп = 3
Ккорп = 1
Ккорп = 1
Ккорп = 1
Ккорп = 1
Ккорп = 1
Ккорп = 1
Ккорп = 1
К1-4ДС-20000К
Ккорп =
1.34
1
BQ1
2,5*10-8
Кпр = 1
Кэ = 1
Б19К
2
DA1,DA3
2·10-8
Кпр = 1
Кэ = 1
Б19К
1
DA6
2·10-8
Кпр = 1
Кэ = 1
Б19К
1
DA2
2·10-8
Кпр = 1
Кэ = 1
Б19К
2
DA4, DA5
2·10-8
Кпр = 1
Кэ = 1
Р1-12
4
R1,R2,R21,R22
0.65·10-7
Кпр = 1
Кэ = 1
Кст =2.07
Кст =1.66
Кст =1.09
Кст =1.66
Кст =2.07
Кст =2.07
Кст =3.73
Кст =1.66
Кст =1.66
Кст =1.66
Кст =1.66
Кст =1.37
 э,
Кv =1
Кv =1
Кv =1
Кv =1
1/ч
э*n,
1/ч
0.39·10-7
0.94·10-7
2.07*10-8
0.94·10-7
1.18·10-7
0.39·10-7
0.71·10-7
3.15·10-8
3.15·10-8
3.15·10-8
3.15·10-8
2.6*10-8
0.39·10-7
0.38·10-6
2.07*10-8
0.94·10-7
2.36·10-7
0.79·10-7
0.35·10-6
0.63·10-7
3.15·10-8
0.63·10-7
3.15·10-8
2.6*10-8
0,33*10-7
0,33*10-7
1.26·10-8
2.52·10-8
0.85·10-8
0.85·10-8
0.95·10-8
0.95·10-8
1.15·10-8
0.34·10-7
0.77·10-7
3.08·10-7
Резисторы
60
Кp =
0.631
Кp =
0.427
Кp =
0.476
Кp =
0.574
Кp =
0.789
КR = 1
Кстаб = 1
Продолжение таблицы 5
Р1-12
2
R11,R12
0.65·10-7
Кпр = 1
Кэ = 1
Р1-12
4
R13..R16
0.65·10-7
Кпр = 1
Кэ = 1
Р1-12
4
R17..R20
0.65·10-7
Кпр = 1
Кэ = 1
Р1-12
51
R23..R73
0.65·10-7
Кпр = 1
Кэ = 1
Р1-12
7
R3...RR10
0.65·10-7
Кпр = 1
Кэ = 1
К10-17б
39
С1,С3, С7..С36,
С48...C53, C56
3·10-8
Кпр = 1
Кэ = 1
К10-17б
10
С2, С37...С45
3·10-8
Кпр = 1
Кэ = 1
К53-18
3
С4,С6,С47
1.6·10-7
Кпр = 1
Кэ = 1
К10-17б
1
С46
3·10-8
Кпр = 1
Кэ = 1
К10-17б
2
С54,C55
3·10-8
Кпр = 1
Кэ = 1
К53-18
1
С5
1.6·10-7
Кпр = 1
Кэ = 1
0.5·10-7
0.5·10-7
0.5·10-7
0.5·10-7
0.5·10-7
0.5·10-7
0.5·10-7
Кпр = 1
Кпр = 1
Кпр = 1
Кпр = 1
Кпр = 1
Кпр = 1
Кпр = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кэ = 1
Кp =
0.896
Кp =
0.606
Кp =
0.455
Кp =
0.455
Кp =
0.391
КR = 1
Кстаб = 1
0.87·10-7
1.75·10-7
КR = 1
Кстаб = 1
2.76·10-8
1.1·10-7
КR = 1
Кстаб = 1
0.44·10-7
1.77·10-7
КR = 1
Кстаб = 1
2.07·10-8
1.06·10-6
КR = 0.7 Кстаб = 1
1.25·10-8
0.62·10-7
0.5·10-8
2.42·10-7
0.47·10-8
0.47·10-7
0.68·10-7
2.04·10-7
1.87·10-9
1.87·10-9
0.69·10-8
0.35·10-7
0.6·10-7
0.6·10-7
2.35·10-6
0.4·10-6
1.65·10-7
1.21·10-7
2.62·10-7
0.4·10-6
3.13·10-7
2.35·10-6
0.4·10-6
1.65·10-7
1.21·10-7
2.62·10-7
0.4·10-6
3.13·10-7
0.8·10-5
Конденсаторы
Соединители низкочастотные и радиочастотные
СНП351
1
ХТ1
СНП268
1
ХТ2
AMPMODU
1
ХТ3
AMPMODU
1
ХТ4
СНП347
1
ХТ5
СНП268
1
ХТ6
СНП347
1
ХТ7
Итого для ячейки:
61
Кp = 0.1 Кc = 1.67
Кp =
Кc = 1.67
0.0946
Кp =
Кпс = 1
0.425
Кp =
Кc =
0.0963
0.648
Кp = 0.3 Кc = 2.31
Кp =
Кпс = 1
0.372
Кp = 2.42
Кp = 2.42
Кp = 2.42
Кp = 2.42
Кp = 2.42
Кp = 2.42
Кp = 2.42
Кkc = 1
Кkc = 1
Кkc = 1
Кkc = 1
Кkc = 1
Кkc = 1
Кkc = 1
Кkk = 19.4
Кkk = 3.28
Кkk = 1.36
Кkk = 1
Кkk = 2.16
Кkk = 3.28
Кkk = 2.58
Примечание: λб (бсг) - исходная (базовая) интенсивность отказов типа (группы) ЭРИ
для усредненных режимов применения в аппаратуре группы 1.1 (электрическая
нагрузка, равная 0,4 от номинальной, температура окружающей среды tокр 30°С);
λэ - значение эксплутационной интенсивности отказов групп ЭРИ;
n - количество элементов;
Кпр - коэффициент приемки - степень жесткости требований к контролю
качества и правила приемки изделий;
Кэ - коэффициент эксплуатации - степень жесткости условий
эксплуатации;
Ккорп - тип корпуса ИС;
Кст - сложность ИС и температура окружающей среды;
Кр
- коэффициент режима - величина электрической нагрузки и
температура окружающей среды (корпуса изделия);
Кпс
-
величина
последовательно
включенного
с
оксидно-
полупроводниковым конденсатором активного сопротивления;
Кс- величина емкости;
KR - величина омического сопротивления;
Кстаб - точность изготовления (допуск) резистора;
Кkc - количество сочленений - расчленений в течение всего времени
эксплуатации;
Кkk - количество задействованных контактов.
Время наработки на отказ ячейки:
То ячейки = 1/ λ
ячейки
= 1/0,8*10-5 = 125000 часов.
62
5 Экологическая оценка компьютеризации общества
Стремительное
компьютеризация
развитие
общества
компьютерной
увеличивают
техники
проблемы
в
и
глобальная
области
экологии.
Важнейшими лимитирующими факторами в условиях глобальной компьютеризации
мирового общества, является степень разрушения литосферы, вытеснение флоры и
фауны в результате поиска, разработки и добычи полезных ископаемых, получении
материалов,
необходимых
для
изготовления
компьютерной
техники.
При
производстве материалов технологические процессы отрицательно влияют на
атмосферу, гидросферу и литосферу, выделяя в больших объемах вредные вещества и
отходы производства. Постепенно возрастает загрязнение атмосферы, гидросферы и
литосферы за счет утилизации, переработки и захоронения материалов компьютерной
техники после окончания срока эксплуатации. При изготовлении компьютерной
техники, производства модулей, блоков, печатных плат технологические процессы
отрицательно влияют на окружающую среду, потребляя невосполнимые запасы
топлива, химические вещества и запасы воды, загрязняя атмосферу, гидросферу и
образуя промышленные отходы. [14]
Для производства одного ПЭВМ (системный блок, монитор, принтер), с общим
весом 24 кг, на технологические расходы потребуется 240 кг ископаемого топлива,
которое невозможно восполнить, для необходимых энергоносителей, 22 кг
химических веществ и 1500 кг воды.
Компьютеризация, как и любая другая наукоемкая технология, привела к массе
факторов, которые приводят к отрицательному воздействию не только на природу, но
и на человека, как ее составной части. Пагубное влияя на природу образовавшиеся
вредные факторы создают необратимые процессы в глобальной
и локальной
экологических системах, и последствия которых на сегодняшний день трудно
предвидеть. Изучение этой проблемы необходимо, прежде всего, потому, что «цикл
жизни» компьютерной техники – это не только эксплуатация, а также и производство
и утилизация после окончания срока эксплуатации.
63
Оценка компьютерной техники со стороны экологии
стадиях
разработки
и
получения
необходимых
должна проходить на
материалов,
изготовления,
эксплуатации, утилизации и переработке компьютерного лома после окончания срока
эксплуатации. Все эти этапы с точки зрения загрязнения природы проходят
следующие этапы:
Первая стадия. При разработке и добычи сырья для производства черных,
цветных
и
редких
металлов
происходит
разрушение
окружающей
среды
(уничтожатся или вытесняются флора и фауна, разрушается рельеф местности,
истощаются недра земли).
Вторая стадия. При разработке сырья, технологические процессы производства
металлов и материалов отрицательно влияют на окружающую среду, выделяя в
больших объемах пыль и вредные вещества в атмосферу и гидросферу, создают
большое количество промышленных отходов.
Третья стадия. При изготовлении компьютерной техники производство модулей,
блоков, печатных плат технологические процессы отрицательно влияют на
окружающую среду, выделяя вредные вещества в атмосферу, гидросферу и создают
промышленные отходы.
Четвертая стадия. При изготовлении компьютерной техники, возникают три
фактора, пагубно влияющих на окружающую среду:
Возрастает номенклатура и количество расходных материалов;
Многообразие
различных
излучений,
которое
оказывает
отрицательное
воздействие не только на конкретного пользователя, но и на все население. Усиление
«электросмога» в крупных городах
повышает вероятность сердечнососудистых
заболеваний, нарушение центральной нервной системы, приводит к повышенной
утомляемости людей;
Повышение расхода электроэнергии. [14]
Пятая стадия. После окончания срока эксплуатации компьютерной техники,
возникают проблемы утилизации и переработки отдельных блоков, модулей,
64
печатных плат, а также извлечение редких металлов из перечисленных узлов.
После окончания срока эксплуатации компьютерной техники, образуется лом,
одна тонна которого содержит 480 кг черных металлов, 200 кг меди, 32 кг алюминия,
32 кг серебра, 1 кг золота, остальное 33 элемента таблицы Д. И. Менделеева, 230 кг
пластмассы. [14]
На стадии утилизации и переработке «компьютерного лома» возникают
сложные научно-технические
задачи из-за отсутствия надежной и эффективной
технологии изготовления элементов из деталей ПЭВМ. Наличие в составе материалов
ПЭВМ фтористо-хлористых соединений углеводорода, бромосодержащих средств
защиты от возгорания, а также наличие пластмасс отрицательно влияют на природу.
Из пластмасс только 20% может быть переработано, остальное требует захоронения.
Часть элементов таблицы Д. И. Менделеева: германий, галлий, барий, тантал,
ванадий, бериллий, европий, титан, марганец, актиний, висмут, хром, кадмий,
ниобий, иттрий, ртуть, мышьяк в силу сложности извлечения практически не
подлежат переработке и оседают в виде примесей в переработанном компьютерном
ломе. Снижение отрицательного воздействия компьютеризации на природу может
быть обеспечено складывающимися следующими направлениями:
1. сокращением номенклатуры элементов таблицы Д. И. Менделеева на стадии
изготовления отдельных деталей компьютерной техники.
2. разработкой и производством «экологически чистых» компьютеров;
3.
созданием
технологических
процессов,
обеспечивающих
прецизионное
извлечение и переработку элементов таблицы Д. И. Менделеева из отдельных
деталей;
4. разработкой комплекса нормативных документов, стандартов безопасности и
эргономики
всеми
государствами,
которые
производят
и
эксплуатируют
компьютерную технику. [14]
Понимание
перечисленных
проблем
имеет
значение
для
будущего
человеческого общества. Глубокие знания специалистов в области компьютерных
65
технологий «жизненного цикла» компьютерной техники и умение составить
экологический баланс является новым этапом в понимании экологической
составляющей этой проблемы будущего.
66
6 Безопасность жизнедеятельности
6.1 Анализ вредных факторов при длительных статических нагрузках на
пользователя
Эксплуатация ПЭВМ и технологического процесса на этом современном
оборудовании, ведёт за собой возникновение вредных производственных факторов.
Одним из основных понятий вредного воздействия, возникающем при
эксплуатации компьютерной техники, является синдром длительных статических
нагрузок (СДСН). Он является следствием длительного пребывания пользователя в
одинаковом положении и повторении одних и тех же трудовых операций. [12]
Условиями появления СДСН является статическое состояние пользователя при
выполнении им манипуляций на ПЭВМ, в результате не соответствующих
эргономических характеристик оборудования, мебели и рабочего места. [12]
Структурная схема связи ПЭВМ – программируемый продукт – пользователь
представлена на рис. 21.
ПЭВМ
ПЭВМ
Разработка, отладка и
реализация программного
продукта
Вредные факторы
на пользователей
Рисунок 4.1 -Последствия
Структурная
схема ПЭВМ - пользователь
Рисунок 21. Структурная схема связи ПЭВМ – программируемый продукт –
пользователь представлена
Характерными особенностями трудовых операций на компьютере являются
однотипные постоянные движения кистей и пальцев при нажатии на клавиши с
целью ввода, поиска, печатания, построения, анализа необходимой информации,
изображаемой в текстовой форме на экране монитора под контролем зрения. А это
значит, что ведущими компонентами трудового процесса при работе на компьютере
67
служат однообразные многократно повторяющиеся нагрузки на руки и постоянное
напряжение зрения, а также нервно-эмоциональное напряжение, связанное с
ответственностью за решение поставленных задач. Постоянное пребывание в сидячем
положение связано со статическим напряжением опорно-двигательной системы
оператора, связанного с поддержкой сидячей рабочей позы и пониженной
активностью в целом. Особая опасность компьютера для здоровья состоит в том, что
все вредные факторы дают о себе знать не сразу, а спустя некоторое время. [18]
В результате не соблюдения правильной осанки возможно развитие грыжи
межпозвоночных дисков шейного или поясничного отделов, перенапряжение мышцы
шеи, плеча и грудной клетки. [13]
Необходимо учитывать параметры микроклимата которые приведены в таблице 6.
Таблица 6. Допустимые параметры микроклимата
Период
года
Категория Температура, Относительная Скорость
работы
°С
влажность, % движения
оптимальная
оптимальная оптимальная воздуха,
м/с
Холодный Легкая 1 а
Легкая 1 б
Теплый
22-24
21 -23
40-60
40-60
не более 0,1
не более 0,1
Легкая 1 а 23-25
40-60
не более 0,1
Легкая 1 б 22-24
40-60
не более 0,2
Категория работ:
1) Легкая 1 а - работы, которые производятся сидя, с сопровождающимся
незначительным физическим напряжением;
2) Легкая 1 б - работы, которые выполняются сидя и стоя.
Если нет соответствия параметрам микроклимата, имеет место чрезмерная
запыленность и загазованность – в первую очередь углекислым газом и аммиаком
при повышенной температуре и влажности воздуха (особенно в холодный период
года). В результате страдают органы дыхания, снижается содержание кислорода в
68
мышечных тканях сердца, крови, головного мозга и глаз.
6.2 Организация рабочего места
Оптимально организованное рабочее место и взаимное расположение всех его
элементов соответствует антропометрическим, физическим и психологическим
требованиям. Большое значение имеет также характер работы. В частности, при
организации рабочего места программиста рекомендуется соблюдать следующие
основные условия: эргономичное размещение оборудования, входящего в состав
рабочего места и достаточное рабочее пространство, позволяющее осуществлять все
необходимые движения и перемещения. [13]
Эргономическими аспектами проектирования видеотерминальных рабочих
мест, в частности, являются: высота рабочей поверхности, размеры пространства для
ног, требования к расположению документов на рабочем месте (наличие и размеры
подставки для документов, возможность различного размещения документов,
расстояние от глаз пользователя до экрана, документа, клавиатуры и т.д.),
характеристики рабочего кресла, требования к поверхности рабочего стола,
регулируемость элементов рабочего места.
Рабочий стол регулируется по высоте в пределах 680-800 мм; при отсутствии
такой возможности его высота обычно составляет 725 мм. Оптимальные размеры
рабочей поверхности столешницы - 1400х1000 мм. Под столешницей рабочего стола
находится свободное пространство для ног с размером по высоте не менее 600 мм, по
ширине - 500 мм, по глубине - 650 мм. На поверхности рабочего стола для
документов необходимо предусматривать размещение специальной подставки,
расстояние которой от глаз аналогично расстоянию от глаз до клавиатуры, что
позволяет снизить зрительное утомление. [13]
Зоны досягаемости рук в горизонтальной плоскости с обозначением основных
зон досягаемости показаны на рис. 22.
69
Рисунок 22. Зоны досягаемости рук в горизонтальной плоскости
Оптимальное
размещение
предметов
труда
и
документации
в
зонах
досягаемости:
- дисплей размещается в зоне а (в центре);
- системный блок размещается в предусмотренной нише стола;
- клавиатура размещается в зоне г/д;
- «мышь» размещается в зоне в справа;
- сканер размещается в зоне а/б (слева);
- принтер находится в зоне а (справа);
На рис. 23 показан пример размещения основных и периферийных
составляющих ПК на рабочем столе пользователя.
Документация: необходимая при работе - в зоне легкой досягаемости ладони, а
в выдвижных ящиках стола - литература, неиспользуемая постоянно. Рабочий стул
(кресло) снабжен подъемно-поворотным устройством, обеспечивающим регуляцию
высоты сидений и спинки; его конструкция предусматривает также изменение угла
наклона спинки. Рабочее кресло имеет подлокотники. [13]
70
1 – сканер, 2 – монитор, 3 – принтер, 4 – поверхность рабочего стола,
5 – клавиатура, 6 – манипулятор типа «мышь».
Рисунок 23. Размещение основных и периферийных устройств ПЭВМ
Регулировка
независимой
и
каждого
иметь
параметра
надежную
должна
фиксацию.
осуществляться
Высота
легко,
поверхности
быть
сидения
регулируется в пределах 400-500 мм. Ширина и глубина сиденья составляет не менее
400 мм. Высота опорной поверхности спинки - не менее 300 мм, ширина - не менее
380мм. Радиус ее кривизны в горизонтальной плоскости - 400 мм. Угол наклона
спинки изменяется в пределах 90-110o к плоскости сиденья. Рекомендуемый
материал покрытия рабочего стула обеспечивает возможность легкой очистки от
загрязнения. Оптимальная поверхность сиденья и спинки - полумягкая, с
нескользящим, не электризующим и воздухопроницаемым покрытием. [13]
На рабочем месте необходимо предусматривать подставку для ног. Ее длина
составляет 400 мм, ширина - 300 мм. Предусматривается регулировка высоты в
пределах от 0 - 150 мм и угла её наклона в пределах 0 – 200, а также рифленое
покрытие и бортик высотой 10 мм по нижнему краю.
Клавиатуру рекомендуется располагать на поверхности стола на расстоянии
100 – 300 мм от края, обращенного к пользователю или на специальной,
71
регулируемой по высоте рабочей поверхности, отделенной от основной столешницы.
Большое значение придается правильной рабочей позе пользователя. При
неудобной рабочей позе могут появиться боли в мышцах, суставах и сухожилиях.
Требования к рабочей позе пользователя следующие:
- наклон головы не более, чем на 20o;
- плечи расслаблены;
- предплечья и кисти рук – в горизонтальном положении.
Необходимо также учитывать режим труда (перерывы на отдых) предоставленный в
таблице 7.
Категория Уровень нагрузки за рабочую смену
работы
с при видах работ с видеомонитором
видеомонитором группа А, группа Б, группа С,
количество количество час.
знаков
знаков
I
до 20000
до 15000
до 2,0 час
Суммарное
время
регламентированных
перерывов, мин.
при
8- при
12часовой
часовой
смене
смене
30
70
II
до 40000
до 30000
до 4,0 час
50
90
III
до 60000
до 40000
до 6,0 час
70
120
Таблица 7. Время регламентных перерывов в зависимости от продолжительности
рабочей смены, вида и категории трудовой деятельности с видеомонитором
Для избавления от утомления с туловища и ног выполняют физические
упражнения для мышц ног, живота и спины для усиления венозного кровообращения
в этих частях тела, что способствует предотвращению застойных явлений крово- и
лимфообразования, отечности в нижних конечностях.
Физические упражнения выполняются в форе физкультурной пятиминутки:
Исходное положение (и.п.) - основная стойка. 1 - шаг влево, руки к плечам,
прогнуться. 2. и.п. 3-4 - повторить то же самое в другую сторону. Следует повторить
6-8 раз. Выполнять в медленном темпе.
Исходное положение - стойка руки врозь. 1 - упор присев. 2 - и.п. 3. - наклон
72
вперед, руки впереди. 4 – и.п. Повторить следует 6-8 раз.
И.п. – стойка ноги врозь, руки за голову. 1-3 – круговые движения тазом в одну
сторону. 4-6 – повторить то же самое в другую сторону. Следует повторить 4-6 раз.
Выполнять в среднем темпе.
Создание благоприятных условий труда и правильное эстетическое оформление
рабочих мест на производстве имеет психологическое значение и снижает
психологические нагрузки на пользователя.
73
7 Организационно-экономический раздел
7.1 Планирование разработки программного обеспечения с построением
графика
Тема
данного
дипломного
проекта
представляет
собой
разработку
конфигурации ПЛИС ячейки информационного обмена. В данном разделе
определяется трудоёмкость и затраты на создание ПО, а так же производится расчёт
основных технико-экономических показателей проекта.
7.1.1. Определение трудоемкости и продолжительности работ по созданию
ПО
Процесс разработки включает в себя: обзор существующих, на момент работы,
систем, анализ и выбор программных продуктов для создания программы; отладка;
испытание. Каждый из этих этапов можно подразделить на отдельные подэтапы и
входящие в них работы.
Согласно ГОСТ 23501.179 регламентируются следующие стадии проведения
исследования:
 техническое задание  ТЗ (ГОСТ 23501.2  79);
 эскизный проект  ЭП (ГОСТ 23501.5  80);
 технический проект  ТП (ГОСТ 23501.680);
 рабочий проект  РП (ГОСТ 23501.1181);
 внедрение ВП (ГОСТ 23501.1581).
На всех стадиях проведения исследования выполняются работы, перечень
которых показан в таблице 8.
Таблица 8. Состав работ и стадии разработки ПО
Стадии разработки
1
Техническое задание
Перечень работ
2
 постановка задачи;
 согласование ТЗ с заказчиком;
 определение требований к системе;
74
 определение стадий, этапов и сроков разработки
ПО;
 утверждение ТЗ;
Эскизный проект
Технический проект
Рабочий проект
Внедрение
 анализ систем схожей тематики;
 рассмотрение и утверждение ЭП;
 разработка окончательных технических решений;
 разработка документации для изготовления и
испытания опытного образца;
 рассмотрение и утверждение ТП;
 подбор материалов;
 проработка
ТЭД, принципиальных схемных
и конструктивных решений;
 изготовление образца;
 проведение
предварительных
испытаний
опытного образца;
 корректировка рабочей документации по
результатам изготовления и предварительных
испытаний образца;
 доработка образца по документации для
предъявления на приемочные испытания
 приемочные испытания образца;
 анализ данных, полученных в результате
эксплуатации;
 проверка, согласование и утверждение рабочей
документации
Трудоемкость выполнения работ по созданию ПО определяется по сумме
трудоемкости этапов и видов работ, оцениваемых экспертным путем в человеко-днях,
и носит вероятностный характер, так как зависит от множества трудно учитываемых
факторов. [15]
Трудоемкость каждого из видов работ определяется, по формуле:
ti 
3  t min  2  t max
,
5
(1)
где tmin  минимально возможная трудоемкость выполнения отдельного вида
работ;
75
t max  максимально возможная трудоемкость выполнения отдельного вида
работ.
Продолжительность каждого вида работ в календарных днях (Ti) определяется
по формуле:
Ti 
ti
 К в ых ,
Чi
(2)
где ti  трудоемкость работ, [человеко-дни];
Ч i  численность исполнителей, [чел.] [15]
К в ых  коэффициент, учитывающий выходные и праздничные дни:
К вых 
К кал.
,
К раб.
где К кал .  число календарных дней;
К раб.  число рабочих дней;
Для расчета принимается среднее значение равное К в ых  1,4 .
Весь список видов и этапов работ по созданию ПО, экспертные оценки и
расчетные величины их трудоемкости, а также продолжительность каждого вида
работ, рассчитанные по формулам (1) и (2), представлены в таблице 9. Разработку ПО
выполняют два человека: руководитель работы и инженер – программист.
76
Стадии разработки
1
2
Техническое задание
1
- постановка задачи
2
- согласование ТЗ с заказчиком
-определение
требований
к
3
системе
- определение стадий, этапов и
4
сроков разработки ПО
5
- утверждение ТЗ
Эскизный проект
6
- анализ систем схожей тематики
7
- рассмотрение и утверждение ЭП
-разработка
окончательных
8
технических решений
- разработка документации для
9
изготовления
и
испытания
опытного образца
Технический проект
10 - рассмотрение и утверждение ТП
11 - подбор материалов
Количество
работников,
чел.
Продолжитель
ность работ,
календарные
дни
Трудоемкость,
чел.дни
№ работы
Таблица 9. Расчет трудоемкости и продолжительности работ по созданию ПО
tmin
3
tmax
4
ti
5
Чi
6
Ti
7
2
2
2
3
2
2,4
2
1
1,4
3,36
1
2
1,4
1
1,96
2
3
2,4
2
1,68
3
4
3,4
1
4,76
2
3
3
4
2,4
3,4
1
2
3,36
2,38
4
5
4,4
1
6,16
5
7
5,8
1
8,12
2
6
4
7
2,8
6,4
2
1
1,96
8,96
77
Продолжение таблицы 9.
1
12
2
-проработка
ТЭД,
принципиальных схемных и
конструктивных решений
Рабочий проект
13
- изготовление образца
- проведение предварительных
14
испытаний опытного образца
- корректировка рабочей
документации по результатам
15
изготовления и предварительных испытаний образца
- доработка образца по
документации для
16
предъявления на приемочные
испытания
Внедрение
- приемочные испытания
17
образца
18
19
- анализ данных, полученных в
результате эксплуатации
- проверка, согласование и
утверждение рабочей
документации
Общая трудоемкость
разработки
3
4
5
13
20
15,8 2
11,06
8
12
9,6
1
13,44
3
5
3,8
1
5,32
6
10
7,6
2
5,32
6
8
6,8
1
9,52
1
2
1
1,4
3
4
1
3,4
2
3
1
2,4
6
11
88
7
1,96
4,76
3,36
99
Общая расчетная трудоемкость работ по созданию ПО составляет 88 чел. дней,
а их продолжительность  99 календарных дня.
7.1.2. Построение ленточного графика разработки ПО
Инструментом планирования работ выбран ленточный график. Он наглядно
представляет последовательность и взаимосвязь отдельных работ, срок их начала и
окончания. График
представлен
в виде таблицы, в которой
перечислены
наименования стадий разработки и видов работ, а такжепоказывает сколько нужно
времени на их выполнение. Продолжением таблицы является ленточный график,
78
который построен в масштабе, отражающем длительность каждой работы в виде
отрезков времени, которые располагаются в соответствии с последовательностью
выполнения работ.
Ленточный график разработки ПО, построенный по данным таблицы 9,
приведен на рисунке 24.
79
Календарные сроки  дни, недели, месяцы
Февраль
Март
0
10
20
30
40
50
60
Наименование работ
Апрель
70
Май
80
90
100
110
120
Постановка задачи
Cогласование ТЗ с заказчиком
Определение требований к системе
Определение стадий, этапов и сроков
разработки ПО
Утверждение ТЗ
Анализ систем схожей тематики
Рассмотрение и утверждение ЭП
Разработка окончательных технических
решений
Разработка документации для изготовления и испытания опытного образца
Рассмотрение и утверждение ТП
Подбор материалов
Проработка
ТЭД, принципиальных
схемных и конструктивных решений
Изготовление образца
Проведение предварительных испытаний
опытного образца
Корректировка рабочей документации по
результатам изготовления и предварительных испытаний образца
Доработка образца по документации для
предъявления на приемочные испытания
Приемочные испытания образца
Анализ данных, полученных в результате
эксплуатации
Проверка, согласование и утверждение
рабочей документации
Рисунок 24. Ленточный график разработки ПО
80
7.2. Расчет затрат на разработку программного обеспечения.
Сметная стоимость проектирования и внедрения программы включает
в себя следующие затраты, определяемые по формуле (3):
Спр=Сосн + Сдоп + Ссоц + См + Смаш.вр + Сн,
(3)
где:
Спр – стоимость разработки ПО;
Сосн – основная заработная плата исполнителей;
Сдоп – дополнительная заработная плата исполнителей, учитывающая
потери времени на отпуска и болезни (принимается в среднем 10% от
основной заработной платы);
Ссоц – отчисления
социального
страхования
во внебюджетные фонды государственного
(пенсионный
фонд,
фонд
обязательного
медицинского страхования, фонд социального страхования), рассчитываются
как 0,26% от основной и дополнительной заработной платы;
См – затраты на используемые материалы;
Смаш.вр – стоимость машинного времени.
Сн – накладные расходы включают затраты на управление, уборку,
ремонт, электроэнергию, отопление и др. (принимаются в размере 60% от
основной и дополнительной заработной платы);
Заработная плата исполнителей
На статью «Заработная плата» относят заработную плату научных,
инженерно-технических
и
других
работников,
непосредственно
участвующих в разработке. Она рассчитывается по формуле (4):
Зисп = Зср * T,
(4)
где:
Зисп – заработная плата исполнителей (руб.);
Зср – средняя тарифная ставка работника организации разработчика ПО
(руб./чел./дни);
Т – трудоемкость разработки ПO (челoвекодни).
81
Зср определяется пo формуле (5):
Зср = C / Фмес,
(5)
где:
C – зарплата труда на текущий момент времени (руб./мес.);
Фмес – месячный фонд рабочего времени исполнителя (дни).
Затраты на статью «Заработной платы» приведены в таблице 10.
Таблица 10. Затраты на заработную плату
Оклад,
Оклад,
руб./мес. руб./день
1 Рукoвoдитель работы
45000
2250
2 Инженер-программист
32400
1620
Общая основная заработная плата исполнителей,
Cосн
№ Исполнитель
Трудоемкость,
чел. дни
10
78
Сумма,
руб.
22500
126360
88
148860
Дополнительная заработная плата
Дополнительная
заработная
плата
на
период
разработки
ПО
рассчитывается относительно основной и составляет 10% от ее величины:
Cдоп = Cосн * 0,1 = 14886 (руб.)
Расчет отчислений на социальное страхование
Социальное страхование включает отчисления во все внебюджетные
фонды, в том числе пенсионный, занятости, обязательного медицинского
страхования,
социального
страхования.
Отчисления
на
социальное
страхование рассчитываются относительно выплаченной заработной платы
(суммы основной и дополнительной заработной платы). Составляют 26%:
Cсоц = (Cдоп + Cосн) * 0,26
(6)
Cсоц = (14886 + 148860) * 0,26 = 42574 (руб.)
82
Расчет расходов на материалы
К этой статье относят все затраты на магнитные носители данных,
бумагу, для печатных устройств, канцтовары и др. Затраты по ним
определяются по экспертным оценкам. Расчет расходов на материалы
приведен в таблице 11.
Таблица 11. Расчёт затрат на материалы
№
Материалы
Количество, штуки
Стоимость,
рубли
1
Бумага офисная, листов
1000
540
2
Дискеты, шт.
6
80
3
Картридж для принтера,
шт.
1
2100
4
Другие канцтовары
-
Общая стоимость материалов, См
1700
4420
Накладные расходы
На статью «Накладные расходы» относят расходы, связанные с
управлением и организацией работ. Накладные расходы рассчитываются
относительно основной заработной платы. Величина накладных расходов
принимается равной 60% от основной зарплаты исполнителей. [15] Формула
расчета (7):
Cн = Cосн * K,
(7)
где:
CH – накладные расходы (руб.);
Cосн – основная заработная плата исполнителей (руб.);
K – коэффициент учета накладных расходов (K = 0,6)
CH = 148860 * 0,6 = 89316 (руб.)
Расчет стоимости машинного времени
Затраты на машинное время, необходимое для разработки ПО, расходы
на приобретение и подготовку материалов научно-технической информации,
83
расходы на пользование средствами связи. Расчет осуществляется по
формуле (8):
Cмаш.вр = Kмаш.вр * Змаш.вр
(8)
где:
Kмаш.вр –
тарифная стоимость одного часа машинного времени
(Kмаш.вр=50 руб./ч.)
Змаш.вр – машинное время, используемое не проведение работ.
Необходимое количество машинного времени для реализации проекта
по разработке программы рассчитывается по формуле:
Змаш.вр = ti * Tсм * Tср.маш,
(9)
где:
ti – трудоемкость работ, чел.дней;
Tсм – продолжительность рабочей смены (При пятидневной рабочей
неделе Tсм = 8 ч.);
Tср.маш – средний коэффициент использования машинного времени
(Tср.маш = 0,7).
Тогда:
Змаш.вр = 88 * 8 * 0,7 = 492,8 (ч.)
Стоимость машинного времени составит:
Cмаш.вр = 50 * 492,8 = 24640 (руб.)
Результаты расчета затрат на проектирование программного обеспечения
сведены в таблице 11.
Таблица 11. Смета затрат на разработку и внедрение программы
3
Cосн
Cдоп
Сумма,
руб.
4
148860
14886
В %
итогу
5
45,85
4,58
Cсоц
42574
13,11
Cмат
Cмаш.вр
Cн
Cпр
4400
24640
89316
324676
1,36
7,59
27,51
100
№ Наименование статей
Обозначение
1
1
2
2
Основная заработная плата
Дополнительная заработная плата
Отчисления
на
социальные
3
нужды
4 Материалы
5 Стоимость машинного времени
6 Накладные расходы
Итого:
к
84
Таким образом, себестоимость разработки составляет 324676 руб.
Данная программа может быть реализована на рынке. При расчетном
количестве реализованных программ (n=5), оптовая цена программы (Цопт)
может быть рассчитана по формуле:
Цопт = Спр  П ;
n
где:
Cпр – себестоимость разработки программы;
П – прибыль, определяется по формуле:
Пi  Уp 
Спрi
100 ;
n
где:
Ур – средний уровень рентабельности (Ур = 20%).
В результате получаем оптовую цену:
Цопт = 324676/5 + (324676/5)*0.2 = 77922 (руб.)
Отпускная
цена
реализации
программы
потребителям
(Цотп),
рассчитывается по формуле:
Цотп  Цопт  НДС ,
где:
HДС
-
налог
на
добавленную
стоимость,
рассчитывается
в
соответствии с действующей ставкой этого налога – 18% от оптовой цены
программы.
Цопт = 77922 + 77922*0.18 = 77922 + 14026 = 91948 (руб.)
Таким образом, отпускная цена программы составит 91948 руб., в
том числе HДC – 14026 руб.
85
7.3 Расчет основных техникоэкономических показателей
использования программного продукта.
Рынком сбыта разработанного устройства будут являться предприятия,
занимающиеся выпуском радиолокационных станций, поскольку ячейка
информационного обмена является элементом, необходимым для их работы.
Вследствие специализации данного товара его распространение будет
происходить по заказу предприятий-производителей приемников для РЛС и
авиакомпаний, производящих модернизацию существующего оборудования.
Разработанное
устройство
можно
представить
потенциальным
покупателям (в частности для нужд ВПК) по более выгодной для них цене,
чем подобная продукция зарубежных фирм.
Основные техникоэкономические показатели проекта приведены в
таблице 13.
Таблица 13. Основные тeхникоэкoнoмичeскиe показатели проeктa
Наименование показателя
Ед. измерения
1
2
Способ обработки информации

 Среда моделирования.

Использованные технические
средства на разработку ПО:
 Персональный
компьютер;
 Принтер.
Количество разработчиков.
Продолжительность
разработки ПО.
Трудоемкость разработки ПО.
Проектный вариант
3
С применением ЭВМ и
программных средств
QUARTUS II

Intel
Core
2
Duo
2x2533MHz,
монитор
SyncMaster
763mb,
клавиатура, мышь

HP LaserJet P1505
Чел.
Календарные
дни
Чел.дней
2
Руб.
324676
99
88
Затраты на разработку ПО 
всего,
86
Продолжение таблицы 13
в том числе
 Основная
заработная
плата
 Дополнительная
заработная плата
 Отчисления
на
социальные нужды
 Материалы
 Стоимость
Руб.
Руб.
Руб.
148860
14886
42574
Руб.
4420
Руб.
24640
Руб.
89316
машинного
времени
 Накладные расходы
87
Заключение
В дипломном проекте была разработана программа конфигурации
ПЛИС для узла интерфейса, при помощи которого осуществляется обмен
данными
вычислительного
комплекса
РЛС
с
аппаратурой
радиолокационного устройства. Осуществлена проверка и отладка этой
программы средствами САПР Quartus II. Узел интерфейса является частью
проекта ячейки информационного обмена 3Д-Я202. Ячейка является
буферным устройством при передаче данных между модулем цифровой
обработки вычислительного комплекса и устройствами, входящими в состав
РЛС, что позволяет осуществлять техническое и функциональное управление
этими
устройствами
и
производить
контроль
их
технического
и
функционального состояния.
В рамках дипломного проекта была разработана структурная схема
ячейки 3Д-Я202.
В
дипломе
информационного
произведен
обмена
расчет
с
надежности
помощью
ЭРИ
программы
ячейки
“АСРН”
(Автоматизированная система расчета надежности).
88
Список литературы
1. Проектирование на ПЛИС. Курс молодого бойца. издат Додэка-ХХI, 2007
2. Применение интегральных микросхем в электронной вычислительной
технике: Справочник; Под редакцией Б.Н. Файзуллаева и Б.В. Тарабрина.М:
“Радио и связь”, 1986.
3.Системы автоматизированного проектирования фирмы Altera MAX+plus 2
и Quartus 2. Комолов Д.А., Мяльк Р.А., Зобенко А.А., Филиппов А.С.: “ИП
РадиоСофт”, 2002
4. Интегральные микросхемы ТТЛ, ТТЛШ. Под редакцией А.В. Лысенко.:
“Машиностроение”,1995
5.Нефедов В. И. Основы радиоэлектроники и связи. Учеб. пособие, - М.:
Высш. шк., 2009.
6. Проектирование цифровых систем на VHDL. Е. А. Суворова, Ю. Е.
Шейнин, 2003
7. Сергиенко А.М. VHDL для проектирования вычислительных устройств,
Москва, 2003
8. Белоцерковский «Основы радиотехники и антенны» Москва, Советское
радио, 1969.
9. СанПиН 2.2.2/2.4.1340-03. Гигиенические требования к персональным
электронно-вычислительным
машинам
и
организация
работы.-М.:
Федеральный центр госсан-эпиднадзора Минздрава России, 2003. -54с.
10 . ГОСТ Р 50754-95 Язык описания аппаратуры цифровых систем VНDL.
Описание языка
11. ГОСТ 12.0.003-74*. ССБТ. Опасные и вредные производственные
факторы. Классификация.
12. Гетия И. Г. Безопасность при работе на ПЭВМ. – М.:МГАПИ, 2005, 73 с.
13. ГОСТ 12.2.032-93. ССБТ. Рабочее место при выполнении работ сидя.
Общие эргономические требования.
89
14. Экология компьютерной техники. – Учебное пособие / Гетия И. Г.,
Шумилин В. К., Леонтьева И. Н., Гетия С. И., Кривенцов С. М.,Комиссарова
Т. А., Скребенкова Л. Н., Костюченко В. Е. – М., 2007 г.
15. Чаплыгин В.А. Организационно-экономический раздел дипломных работ
научно-исследовательского характера. М., МГАПИ. 2000.
16.
Методические указания по сбору материалов на преддипломной
практике
и
выполнению
организационно-экономического
раздела
дипломных проектов. М., 2004
17. Руководство пользователя программой АСРН. М., ОАО «Российский
научно-исследовательский институт «ЭЛЕКТРОНСТАНДАРТ », 2006
18. http://dvkuot.ru/index.php/otpk/117-factor
19. http://www.chipovod.ru/category/plis/
90
Приложение 1
Параметры временной модели FLEX10K
Обозначение
Параметр
Значение для
EPF10K10 –3, нс
Мин
Макс
tLUT
Задержка данных в ТП
1.4
tCLUT
Задержка формирования сигнала
переноса в ТП
0.6
tRLUT
Задержка сигнала обратной связи
регистра в ТП
1.5
tPACKED
Задержка входных данных до отдельно
сконфигурированного регистра (packed
register)
0.6
tEN
Задержка сигнала разрешения регистра
ЛЭ
1.0
tCICO
Задержка сигнала переноса от входа до
выхода переноса
0.2
tCGEN
Задержка сигнала переноса от входа
ЛЭ до выхода переноса
0.9
tCGENR
Задержка сигнала от выхода регистра
ЛЭ до выхода переноса
0.9
tCASC
Задержка сигнала каскадирования от
входа до выхода каскадирования
0.8
tC
Задержка управляющего сигнала на
регистре ЛЭ
1.3
tCO
Задержка выходного сигнала регистра
относительно такта
0.9
tCOMB
Задержка в комбинационной части ЛЭ
0.5
tSU
Время установки регистра ЛЭ
1.3
tH
Время удержания сигнала на входе
регистра после подачи
синхроимпульса
1.4
tPRE
Задержка предустановки регистра ЛЭ
1.0
tCLR
Задержка сброса регистра ЛЭ
1.0
tCH
Длительность высокого уровня
тактового сигнала регистра
4.0
tCL
Длительность низкого уровня
тактового сигнала регистра
4.0
tIOD
Задержка выходного сигнала ЭВВ
1.3
91
tIOC
Задержка выходного сигнала регистра
ЭВВ относительно сигналов
управления
0.5
tIOCO
Задержка выходного сигнала регистра
ЭВВ относительно такта
0.2
tIOCOMB
Задержка комбинационных схем ЭВВ
0.0
tIOSU
Время установки регистра ЭВВ
2.8
tIOH
Время удержания данных регистра
ЭВВ
1.0
tIOCLR
Задержка сброса регистра ЭВВ
1.0
tOD1
Задержка сигнала от выходного
буфера до вывода, VCCIO=3.3 В, slew
rate = off
2.6
tOD2
Задержка сигнала от выходного
буфера до вывода, VCCIO=2.5 В, slew
rate = off
4.9
tOD3
Задержка сигнала от выходного
буфера до вывода, slew rate = on
6.3
tXZ
Задержка сигнала в выходном буфере
после сигнала запрещения выхода
4.5
tZX1
Задержка сигнала в выходном буфере
после сигнала разрешения выхода,
VCCIO=3.3 В, slew rate = off
4.5
tZX2
Задержка сигнала в выходном буфере
после сигнала разрешения выхода,
VCCIO=2.5 В, slew rate = off
6.8
tZX3
Задержка сигнала в выходном буфере
после сигнала разрешения выхода,
slew rate = on
8.2
tINREG
Задержка в буфере ЭВВ
6.0
tIOFD
Задержка в цепи обратной связи
регистра ЭВВ
3.1
tINCOMB
Задержка сигнала от входного буфера
ЭВВ до ГМС
3.1
tEABDATA1
Задержка данных или адреса ВБП до
комбинационного выхода ВБП
1.5
tEABDATA2
Задержка данных или адреса ВБП до
регистрового выхода ВБП
4.8
tEABWE1
Задержка данных ВБП относительно
сигнала разрешения записи до
комбинационного выхода ВБП
1.0
tEABWE2
Задержка данных ВБП относительно
сигнала разрешения записи до
5.0
92
регистрового выхода ВБП
tEABCLK
Задержка тактового импульса на
регистре ВБП
1.0
tEABCO
Задержка выхода ВБП относительно
тактового импульса
0.5
tEABBYPASS
Задержка в цепи обхода регистра ВБП
1.5
tEABSU
Время установки регистра ВБП
1.5
tEABH
Время удержания регистра ВБП
2.0
tEABCH
Длительность высокого уровня
тактового сигнала регистра ВБП
4.0
tEABCL
Длительность низкого уровня
тактового сигнала регистра ВБП
5.8
tAA
Время удержания адреса
tWP
Длительность импульса записи ВБП
5.8
tWDSU
Время установки данных до записи
1.6
tWDH
Время удержания данных при сигнале
записи в ВБП
0.3
tWASU
Время установки адреса
0.5
twAH
Время удержания адреса
1.0
tWO
Задержка данных на выходе ВБП
относительно сигнала разрешения
записи
5.0
tDD
Задержка данных от входа до выхода
ВБП
5.0
tEABOUT
Задержка данных на выходе ВБП
0.5
tEABAA
Время доступа адреса ВБП
13.7
tEABRCCOMB
Длительность цикла асинхронного
чтения из ВБП
13.7
tEABRCREG
Длительность цикла синхронного
чтения из ВБП
9.7
tEABWP
Длительность импульса записи ВБП
5.8
tEABWCCOMB
Длительность цикла асинхронной
записи в ВБП
7.3
tEABWCREG
Длительность цикла синхронной
записи в ВБП
13.0
tEABDD
Задержка данных от входа до выхода
ВБП
10.0
tEABDATACO
Задержка данных на выходе ВБП
относительно такта
2.0
8.7
93
tEABDATASU
Время установки адреса или данных во
5.3
входном регистре ВБП
tEABDATAH
Время удержания адреса или данных
на входе ВБП
0.0
tEABWESU
Время установки сигнала WE
5.5
tEABWESH
Время удержания сигнала WE
0.0
tEABWDSU
Время установки входных данных
ВБП без использования входного
регистра
5.5
tEABWDH
Время удержания входных данных
ВБП без использования входного
регистра
0.0
tEABWASU
Время установки адреса ВБП без
использования входного регистра
2.1
tEABWAH
Время удержания адреса ВБП без
использования входного регистра
0.0
tEABWO
Задержка данных на выходе ВБП
относительно сигнала разрешения
записи
9.5
tSAMELAB
Задержка данных в ЛМС
0.6
tSAMEROW
Задержка передачи данных внутри
одной и той же строки ГМС
3.6
tSAMECOLUMN
Задержка передачи данных внутри
одного и тогоже ГМС
0.9
tDIFFROW
Задержка передачи данных по столбцу
с одной строки ГМС на другую
4.5
tTWOROWS
Задержка передачи данных с одной
строки ГМС на другую
8.1
tLEPERIPH
Задержка управляющего сигнала
3.3
tLABCARRY
Задержка переноса в следующий ЛБ
0.5
tLABCASC
Задержка каскадирования в
следующий ЛБ
2.7
tDIN2IOE
Задержка распространения с
выделенного вывода до входа
управления ЭВВ
4.8
tDIN2LE
Задержка распространения с
выделенного вывода до входа
управления ЛБ или ВБП
2.6
tDCLK2IOE
Задержка распространения тактового
сигнала с выделенного вывода до
входа тактирования ЭВВ
3.4
tDCLK2LE
Задержка распространения тактового
2.6
94
сигнала с выделенного вывода до
входа тактирования ЛБ или ВБП
tDIN2DATA
Задержка распространения данных с
выделенного вывода до входа ЛБ или
ВБП
4.3
tDPR
Тестовая задержка регистр – регистр
через 4 ЛЭ, 3 ряда и 4 ЛМС
16.1
tINSU
Время установки глобального
тактового импульса
5.5
tINH
Время удержания данных
относительно глобального тактового
импульса
0.0
tOUTCO
Время задержки выходных данных
относительно глобального тактового
импульса
2.0
tISUBIDIR
Время установки двунаправленного
вывода относительно глобального
тактового импульса
4.5
tINHBIDIR
Время удержания двунаправленного
вывода относительно глобального
тактового импульса
0.0
tOUTCOBIDIR
Время задержки выходных данных на
двунаправленном выводе
относительно глобального тактового
импульса
2.0
tXZBIDIR
Задержка перехода выходного буфера
в третье состояние
10.0
tZXBIDIR
Задержка перехода выходного буфера
из третьего состояния
10.0
6.7
6.7
95
Приложение 2
Лит
Лист
Разраб. .
Руковод.
Консул.
№ документа
Подпись
Дата
Масса
Масштаб
Структурная схема ячейки
информационного обмена
Листов 1
Н. контр.
Зав. каф.
96
Приложение 3
Карта оценки номенклатуры примененных ЭРИ и сведений о соответствии условий их эксплуатации
и показателей надежности требованиям НТД
Наименование ЭРИ
559ИП5
Позиционное обозначение
Количество, шт.
при утверждении ТТЗ
последних редакций
диапазон частот, Гц
акустический
шум
звуковое давление, дБ
линейное ускорение, М.С.Е-2 (g)
пониженное
давление окружающей
среды, Па (атм)
повышенное
в аппаратуре
Условия эксплуатации
630мм
рт.ст
830мм
рт.ст.
+5
+40
80
+25
Роса, иней
Примечание
Требования на изделие
Наличие в перечнях
предельная
температура, С
относительная
влажность
пониженная
повышенная
%
С
1
2
3
4
5
6
7
8
9
10
11
1554AП4
530ЛА3ММ
1533ЛИ1
530ЛА3ММ
DD8, DD18
DD9
DD1, DD19,
DD20
DD2…DD6
3
5
1
2
1
Есть
Есть
Есть
Есть
Есть
Есть
Есть
Есть
Есть
Есть
50-10000
50-10000
50-10000
50-10000
50-10000
170
130
130
130
130
-
-
-
-
-
1•10-6
1•10-6
1•10-6
1•10-6
1•10-6
(3)
(3)
(3)
(3)
(3)
-60
-60
-60
-60
-60
125
125
125
125
125
98
98
98
98
98
35
35
35
35
35
-
-
-
-
-
-
-
-
-
-
DD7
97
Продолжение приложения 5
Наименование ЭРИ
561 ЛН2
EPF10K50
5559ИН1Т
5559ИН2Т
559ИП4
RI240-4
Требования на изделие
Условия эксплуатации
в аппаратуре
Позиционное обозначение
Количество, шт.
при утверждении ТТЗ
Наличие в перечнях
последних редакций
диапазон частот, Гц
акустический
шум
звуковое давление, дБ
линейное ускорение, М.С.Е.-2 (G)
630мм
пониженное
рт.ст
давление окружающей
среды, Па (атм)
830мм
повышенное
рт.ст.
+5
предельная
пониженная
повышенная
+40
температура, С
80
%
относительная
влажность
+25
С
Роса, иней
Примечание
1
2
3
4
5
6
7
8
9
10
11
DD10…DD13
DD14
DD15
DD16, DD17
DD21, DD22
4
1
1
2
2
Есть
Нет
Есть
Есть
Есть
Есть
Нет
Есть
Есть
Есть
50-10000
-
50-10000
50-10000
50-10000
130
-
170
170
170
-
-
-
-
-
1*10-6
-
1•10-6
1•10-6
1*10-6
(3)
-
(3)
(3)
(3)
-60
-40
-60
-60
-60
125
85
125
125
125
98
-
98
98
98
35
-
35
35
35
-
-
-
-
-
-
ALTERA
-
-
-
98
Продолжение приложения 5
Наименование ЭРИ
Позиционное обозначение
EPC1PI8
К10-17б-Н90
К53-18-16В
К10-17б-М47
С1…С3,
С7…С45,
DS1
DA1…DA3,DA
6
С4…С6, С47 С46
С48…С56
Количество, шт.
при утверждении ТТЗ
последних редакций
диапазон частот, Гц
акустический
шум
звуковое давление, дБ
линейное ускорение, М.С.Е.-2 (G)
пониженное
давление окружающей
среды, Па (атм)
повышенное
Условия эксплуатации
в аппаратуре
Требования на изделие
Наличие в перечнях
630мм
рт.ст
830мм
рт.ст.
+5
+40
80
+25
Роса, иней
Примечание
предельная
температура, С
относительная
влажность
Б19К-3
пониженная
повышенная
%
С
1
2
3
4
5
6
7
8
9
10
11
1
51
4
1
4
Нет
Есть
Есть
Есть
Есть
Нет
Есть
Есть
Есть
Есть
-
50-10000
50-10000
50-10000
-
-
130
170
130
-
-
(100)
(500)
(100)
-
-
1•10-6
1•10-6
1•10-6
1•10-6
-
(3)
(3)
(3)
(3)
-40
-60
-80
-60
-60
85
85
125
85
85
-
98
98
98
98
-
35
35
35
35
ALTERA
-
-
-
-
-
-
-
-
99
Продолжение приложения 5
Наименование ЭРИ
Требования на изделие
Условия эксплуатации
в аппаратуре
Позиционное обозначение
Количество, шт.
при утверждении ТТЗ
Наличие в перечнях
последних редакций
диапазон частот, Гц
акустический
шум
звуковое давление, дБ
линейное ускорение, М.С.Е.-2 (G)
630мм
пониженное
рт.ст
давление окружающей
среды, Па (атм)
830мм
повышенное
рт.ст.
+5
предельная
пониженная
повышенная
+40
температура, С
80
%
относительная
влажность
+25
С
Роса, иней
Примечание
1
2
3
4
5
6
7
8
9
10
11
Б19К-2
Р1-12
К1-4ДС20000К-В
СНП351
СНП268
DA4, DA5
R1…R73
BQ1
ХТ1
XT2,XT6
2
73
1
1
2
Есть
Есть
Есть
Есть
Есть
Есть
Есть
Есть
Есть
Есть
-
-
50-10000
-
-
-
160
-
-
-
(100)
-
-
1•10-6
1•10-6
1•10-5
-
-
(3)
(3)
(3)
-
-60
-60
-60
-60
-60
85
70
85
70
70
98
98
98
-
35
35
35
-
-
-
-
-
-
-
-
-
-
-
-
100
Продолжение приложения 5
Наименование ЭРИ
Требования на изделие
Условия эксплуатации
в аппаратуре
Позиционное обозначение
Количество, шт.
при утверждении ТТЗ
Наличие в перечнях
последних редакций
диапазон частот, Гц
акустический
шум
звуковое давление, дБ
линейное ускорение, М.С.Е.-2 (G)
630мм
пониженное
рт.ст
давление окружающей
среды, Па (атм)
830мм
повышенное
рт.ст.
+5
предельная
пониженная
повышенная
+40
температура, С
80
%
относительная
влажность
+25
С
Роса, иней
Примечание
1
2
3
4
5
6
7
8
9
10
11
AMPMODU
SYSTEM 50
СНП347
ХТ3, ХТ4
ХТ5, ХТ7
2
2
Нет
Есть
Нет
Есть
-
-
-
-
-
-
-
-
-
-
-60
-60
70
100
-
-
-
-
-
-
-
-
101
Приложение 4
Разработанная программа
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
ENTITY PIOX_PackDecode IS
PORT
(
aclr
: IN STD_LOGIC;
-- сброс конечного автомата
PIOX_DATA_IN : IN STD_LOGIC_VECTOR(15 DOWNTO 0); - входные линии пио
PIOX_DATA_OUT
: OUT
STD_LOGIC_VECTOR(15
DOWNTO 0); -- выходные линии в пио
aPIOX_WR
: IN STD_LOGIC;
-- сигнал управления на пио (не синхронный) (запись)
sPIOX_RD
: IN STD_LOGIC;
-- сигнал управления на пио (синхронный) (чтение)
sPIOX_WR
: IN STD_LOGIC;
-- сигнал управления на пио (синхронный) (запись)
ADDR
: OUT
STD_LOGIC_VECTOR(7
DOWNTO 0);
-- адрес из заголовка пакета
DATA_IN
: IN STD_LOGIC_VECTOR(15
DOWNTO 0);
-- данные для пакета
DATA_OUT
DOWNTO 0);
-- данные из пакета
: OUT
STD_LOGIC_VECTOR(15
PIOX_ERR
: OUT
STD_LOGIC;
-- ошибка пакета (неверный формат пакета) сбрасывается
успешной обработкой пакета и сигналом aclr (начальной установки)
102
BORDER
: OUT STD_LOGIC;
-- границы пакета
DOUBLE_STROB_OUT: OUT
STD_LOGIC;
-- парные стробы записи в память
SINGLE_STROB_OUT: OUT STD_LOGIC;
-- одиночный строб записи в регистр
DOUBLE_STROB_IN: OUT STD_LOGIC;
-- парные стробы чтения из памяти
SINGLE_STROB_IN: OUT STD_LOGIC;
-- одиночный строб чтения из регистра
PACK_SUM
: OUT
STD_LOGIC_VECTOR(15
DOWNTO 0);
-- cумма данных пакета из регистра GR_SUM
10011000=0x98
CLK: IN STD_LOGIC;
-- F = 20 МГц
-- debug
-- VEC8
: OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
-- VEC2
: OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
deb_a
deb_b
: OUT STD_LOGIC;
: OUT STD_LOGIC
);
END PIOX_PackDecode;
ARCHITECTURE AUTOMAT OF PIOX_PackDecode IS
signal State : STD_LOGIC_VECTOR(1 downto 0);
-- текущее состояние автомата
signal N
: std_logic_vector (7 downto 0);
-- номер обрабатываемого слова из пакета
signal ssUnion:STD_LOGIC;
-- обединение выравненых стробов PIOX_WR и PIOX_RD
103
signal Reset:STD_LOGIC;
-- сборка aclr и SelfReset
signal SelfReset:STD_LOGIC;
-- сброс при некорректном пакете
signal tSTROB_IN:STD_LOGIC;
-- сигнал служит признаком генерации парных стробов
signal tData_Out: std_logic_vector (15 downto 0);
-- данные на PIOX в момент PIOX_WR
signal tADD:STD_LOGIC;
-- задержка границ пакета BORDER для проходжения всех стробов
tSTROB_OUT
signal tBORDER:STD_LOGIC;
-- граница инф. части пакета - предвестник сигнала занятия RAM
signal HOLD_sPIOX_WR:STD_LOGIC;
-- задержаный сигнал PIOX_WR используется для определения
запись/чтение в смешанном сигнале ssUnion существует в положительной
области, те не как PIOX_WR
signal Delay_sPIOX_RD:STD_LOGIC;
-- задержаный сигнал PIOX_RD
signal tPACK_SUM
: STD_LOGIC_VECTOR(15 DOWNTO 0);
signal tADDR
: STD_LOGIC_VECTOR(7 DOWNTO 0);
signal C_SIGN
: STD_LOGIC;
BEGIN
--V1<=Reset;
--V2<=SelfReset;
ADDR<=tADDR;
PACK_SUM<=tPACK_SUM;
DATA_OUT<=tDATA_OUT;
104
ssUnion<= sPIOX_RD AND sPIOX_WR ;
-- объединение нужно, так как подсчет количества слов идет и в записи
и в чтении
Reset<=(SelfReset and (NOT (sPIOX_WR AND sPIOX_RD))) or aclr;
-- сброс происходит по aclr или по ошибке протокола, сброс по ошибке
протокола удерживается до конца импульса PIOX_RD/WR вызвавшего его;
после сброса возобновляется и Selfeset освобождается
BORDER<=tBORDER OR tADD;
-- границы пакета формируются от конца заголовка пакета до конца
последнего слова пакета + время на формирование импульсов записи
PIOX_DATA_OUT<=DATA_IN;
-- просто сквозное прохождение
-- задержанный сигнал PIOX_RD для выделения переднего фронта в
импульсе PIOX_RD
Delay_sPIOX_RD_drive: PROCESS(sPIOX_RD,CLK)
BEGIN
if CLK'event and CLK='0' then
Delay_sPIOX_RD<=sPIOX_RD;
else NULL;
end if;
END PROCESS Delay_sPIOX_RD_drive;
-- данные на запись действительны в момент переднего фронта
PIOX_WR
DATA_OUT_drive: PROCESS(aPIOX_WR)
BEGIN
if aPIOX_WR'event and aPIOX_WR='1' then
tDATA_OUT<=PIOX_DATA_IN;
else NULL;
end if;
END PROCESS DATA_OUT_drive;
-- Адрес формируется из заголовка пакета при записи или при чтении между
пакетами
-- Количество слов непрерывно отслеживается для определения границ
пакета
105
ADDR_N_drive:
PROCESS(Delay_sPIOX_RD,sPIOX_RD,State,ssUnion,HOLD_sPIOX_W
R)
variable HOLD_sPIOX_RD:STD_LOGIC;
BEGIN
HOLD_sPIOX_RD:=Delay_sPIOX_RD and sPIOX_RD;
if HOLD_sPIOX_RD='0' and State="00" then
tADDR<="00011000";
elsif ssUnion'event and ssUnion='1' then
if HOLD_sPIOX_WR='1' and State="00" then
N<=tDATA_OUT(15 downto 8);
tADDR<= tDATA_OUT(7 downto 0);
if tDATA_OUT="10011000" then NULL;
-- в начале пакета счетчик сбрасывается
else tPACK_SUM<="0000000000000000";
end if;
-- сумма подсчитывается в конце записи, если это не запись заголовка
(State=0) и не регистр GR_sum
-- при цикле чтения этого регистра его значение гарантированно не
изменяется
elsif State="11" OR State="10" OR State="01" then
if State="11" OR State="10" then N<= N-1;
else NULL;
end if;
-- при обращении по адресу RG_sum счета не
происходит
if tADDR="10011000" then NULL;
-- в записи счет значений в момент aWR
elsif HOLD_sPIOX_WR='1' then
tPACK_SUM<=tPACK_SUM+tDATA_OUT;
--при чтении в момент sRD
elsif HOLD_sPIOX_WR='0' then
tPACK_SUM<=tPACK_SUM+DATA_IN;
end if;
106
else NULL;
end if;
else NULL;
end if;
END PROCESS ADDR_N_drive;
BORDER_drive: PROCESS (ssUnion,sPIOX_RD,Reset)
BEGIN
if Reset='1' then tBORDER<='0';
elsif ssUnion'event and ssUnion='1' then
if State="00" then
if HOLD_sPIOX_WR='1' then
tBORDER<='1';
else NULL;
end if;
elsif State="11" OR State="10" then
if N="00000001" then tBORDER<='0';
else NULL;
end if;
elsif State="01" then
if N="00000000" then tBORDER<='0';
else NULL;
end if;
else NULL;
end if;
else NULL;
end if;
END PROCESS BORDER_drive;
-- парные стробы записи формируются после импульса PIOX_WR
STROB_OUT_drive: PROCESS (CLK,State,Reset)
variable count: std_logic_vector(2 downto 0);
BEGIN
-- sPIOX изменяется по переднему фронку CLK
if Reset='1' then count:="000";
tADD<='0';
107
HOLD_sPIOX_WR<='0';
DOUBLE_STROB_OUT<='0';
SINGLE_STROB_OUT<='0';
elsif CLK'event and CLK='0' then
if sPIOX_WR='0' then count:="111";
elsif sPIOX_WR='1' AND count="000" then NULL;
else count:=count-1;
end if;
-- в первом такте nCLK зависимые от него State и count еще не изменились и
в случае если State = 0 они пропускают свой такт установки и не выставляют
tADD
if count="111" and State="000" then tADD<='0';
-- если State не мешает tADD выставляется уже в началн PIOX_WR
elsif count="111" then tADD<='1';
-- по концу счета сигнал возврвщается в исходное состояние
elsif count="000" then tADD<='0';
else NULL;
end if;
-- HOLD_sPIOX_WR продливает сигнал на 8,5 тактов
if count="000" then HOLD_sPIOX_WR<='0';
elsif count="111" then HOLD_sPIOX_WR<='1';
else NULL;
end if;
-- двойной строб для записи
if tADD='1' then
CASE count IS
when "110"=> DOUBLE_STROB_OUT<='1';
SINGLE_STROB_OUT<='1';
when "101"=> DOUBLE_STROB_OUT<='0';
SINGLE_STROB_OUT<='0';
when "100"=> DOUBLE_STROB_OUT<='1';
SINGLE_STROB_OUT<='0';
WHEN OTHERS =>DOUBLE_STROB_OUT<='0';
SINGLE_STROB_OUT<='0';
END CASE;
end if;
else NULL;
end if;
108
END PROCESS STROB_OUT_drive;
-- стробы чтения формируются в начале импульса PIOX_RD
STROB_IN_drive: PROCESS (CLK,State,tSTROB_IN)
variable count: std_logic_vector(2 downto 0);
BEGIN
if CLK'event and CLK='0' then
if tSTROB_IN='0' then count:="111"; -- tSTROB_IN признак начала
генерации
elsif count="000" then NULL;
else count:=count-1;
end if;
CASE count IS
when "110"=> DOUBLE_STROB_IN<='1';
SINGLE_STROB_IN<='0';
when "101"=> DOUBLE_STROB_IN<='0';
SINGLE_STROB_IN<='1';
when "100"=> DOUBLE_STROB_IN<='1';
SINGLE_STROB_IN<='0';
WHEN OTHERS =>DOUBLE_STROB_IN<='0';
SINGLE_STROB_IN<='0';
END CASE;
else NULL;
end if;
END PROCESS STROB_IN_drive;
-- конечный автомат отслеживает фазы обработки пакета данных
MAIN: PROCESS (CLK,sPIOX_RD,sPIOX_WR,Reset,State,aclr)
variable StatePrepare :std_logic_vector (1 downto 0); -- следующее состояние
автомата
BEGIN
-- сброс состояния автомата
if Reset='1' then
109
if aclr='1' then PIOX_ERR<='0'; else NULL; end if;
tSTROB_IN<='0';
State<="00";
StatePrepare:="00";
elsif CLK'event AND CLK='0' then
if sPIOX_RD='0' then
CASE State IS
WHEN "00" => tSTROB_IN<='1';
StatePrepare:="00";
WHEN "01" => tSTROB_IN<='1';
if N="00000000" then StatePrepare:="00";
PIOX_ERR<='0';
else StatePrepare:="10";
end if;
WHEN "10" => tSTROB_IN<='1';
if N="00000001" then StatePrepare:="00";
PIOX_ERR<='0';
else StatePrepare:="10";
end if;
WHEN "11" =>
PIOX_ERR<='1';
SelfReset<='1';
StatePrepare:="00";
WHEN OTHERS => NULL;
END CASE;
elsif sPIOX_WR='0' then
CASE State IS
WHEN "00" => StatePrepare:="01";
WHEN "01" => if N="00000000" then StatePrepare:="00";
110
PIOX_ERR<='0';
else StatePrepare:="11";
end if;
WHEN "11" =>
if N="00000001" then StatePrepare:="00";
PIOX_ERR<='0';
else StatePrepare:="11";
end if;
WHEN "10" => PIOX_ERR<='1';
StatePrepare:="00";
SelfReset<='1';
WHEN OTHERS => NULL;
END CASE;
-- поскольку оба нуля уже удалены в LineUp в else - случай когда оба
'1'
else State<=StatePrepare; -- сменить состояние
SelfReset<='0';
tSTROB_IN<='0';
end if;
else NULL;
end if; -- main if
deb_a<=tSTROB_IN;
deb_b<=sPIOX_RD;
END PROCESS MAIN;
END AUTOMAT;
111
Download