Теория - Белорусский государственный университет

advertisement
1
БЕЛОРУССКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ИНФОРМАТИКИ И
РАДИОЭЛЕКТРОНИКИ
УЧЕБНО-МЕТОДИЧЕСКИЙ КОМПЛЕКС
по дисциплине
“ТЕХНОЛОГИЯ ИЗДЕЛИЙ ЭЛЕКТРОННО-ОПТИЧЕСКОЙ ТЕХНИКИ”
для студентов специальности Т 08.03.00
«Электронно-оптические системы и технологии»
Факультет - компьютерного проектирования
Кафедра - ЭТТ
Курс - 4
Семестр - 8
Лекций - 64 час.
Лабораторные занятия - 32 час.
Практические занятия - 16 час.
Экзамен
Всего: 96 часов
Минск 2007
2
СОДЕРЖАНИЕ
Рабочая программа……………………………………………………………………………………………………….…….3
Классификация ИЭОТ по конструктивно-технологическим признакам……………………………………………….….14
2. Пленочные элементы…………………………………………………………………………………………………..……16
2.1 Резисторы……………………………………………...…………………………………………………………..16
2.2 Конденсаторы…………………………………………………………...……………………………………..….19
2.3 Индуктивности……………………………………………………………………...…………………………….22
3 Резисторы и конденсаторы в «полупроводниковом» исполнении………………………………………………….24
3.1 Конденсаторы………………………………………………………………………..……………………………24
3.2 Резисторы……….…………………………………………………………………….…………………………..26
4. Конструкционная основа для ИС…………………………………………………………………………………………..32
5. Базовые технологические процессы изготовления ИС…………………………………………………………………...34
5.2. Типы и конструкции испарителей........................................................................................................................37
5.3. Лазерное, электронно-лучевое, «взрывное» испарение…………………………………………………..…...41
5.4. КАТОДНОЕ РАСПЫЛЕНИЕ………………………………………………………………………………..….44
5.5 Высокочастотное распыление. Реактивное распыление…………………………..…………………………...45
5.6 ПОЛУЧЕНИЕ ПЛЕНОК ИЗ ГАЗОВОЙ ФАЗЫ……………………………………….……………………….46
5.7 Хлоридные и силановый методы получения эпитаксиальных слоев…………………..………………..47
5.8 Легирование при эпитаксии………………………………………………………………………..………….…49
5.9. Термическое окисление Si…..………………………………………………………………………..…………52
5.10. Пиролитическое получение пленок из газовой фазы при нормальном и пониженном давлении…...…...54
5.11. ПЛАЗМОХИМИЧЕСКОЕ ОСАЖДЕНИЕ….……………………………………………………….….…….55
6. Формирование легированных слоев в технологии ИЭОТ….…………………………………………………..…..…….56
6.1. Распределение примесей при диффузии и неограниченного и ограниченного источников…………..……56
6.2 Локальная диффузия………………………………………………………………………………………….....57
6.3 Многостадийная диффузия…..…………………………………………………………………………………..58
6.4. ФИЗИКО-ХИМИЧЕСКИЕ ОСНОВЫ ИОННОГО ЛЕГИРОВАНИЯ…..……………………..……………..60
7. МЕТОДЫ ФОРМИРОВАНИЯ КОНФИГУРАЦИИ ЭЛЕМЕНТОВ ИЭОТ…..…………………………………………62
7.1 Масочные методы. Метод свободных масок. Классификация масок и методы получения……………..….62
7.2 Контактная фотолитография …………………………………………………………………………………....65
7.3.Фоторезисты (ФР), виды, требования к ним, методы нанесение………..……………………………………67
7.4 Проекционная ФЛГ …….…………………………………………………….……………………………….…79
7.5 Электрополитография ………………………………………………………….………………………………..84
7.6 Рентгенолитиграфия……………………………………………………………..……………………………….88
7.7 Ионнолитография………………………………………………………………….……………………………..90
8 Сборочные процессы в технологии ИЭОТ…………………………………………………………………………………91
8.1 Методы разделения пластин и подложек…..……………………………………………..…………………….91
8.2 Методы установки кристаллов и плат в корпуса…..……………………………………….………………….96
8.2.1 Монтаж с использованием эвтектических сплавов….……………………………………………...96
8.2.2 Монтаж с использованием клеев и компаундов…………………………………………………….97
8.3 Виды выводов……………………………………………………………………………………….…………....98
8.4 Термокомпрессионная, ультразвуковая и термозвуковая сварки…….……………………………..……….100
8.5 Методы и материалы для герметизации кристаллов и плат……..…………………………………..……….104
9 Толстопленочная технология………………………………………………………………………………………………106
9.1 Пасты для проводящих, резисторных и диэлектрических элементов, их характеристики. Технология
нанесения и вжигания паст…………………………………………………………………………………………………..106
9.2 Методы формирования рисунка. Трафаретная печать………………….…………………………………….107
10. Технология очистки………………………………………………………………………………………………………109
11 Oсновные методы производства волоконных световодов……………………………………………………………...114
11.1 Одномодовые световоды. Многомодовые световоды с и ступенчатым профилем…...…………………..114
11.2 Модифицированный процесс EVD (MCVD)… ……………………………………………………………..115
11.3 Принципы и особенности построения ВОПС (волоконно-оптической системы передач)… ……………118
3
1 Классификация ИЭОТ по конструктивно-технологическим признакам -2 часа.
Гибридные ИС (ГИС), пленочные ИС (ПлИС), полупроводниковые ИС (ПИС),
совмещенные ИС (СИС), многокристальные ИС и микросборки. Определение, структура. Базовый
процесс изготовления планарно-эпитаксиального n-р-n транзистора со скрытым слоем.
В соответствии с принятой терминологией интегральной микросхемой называется микроэлектронное изделие,
выполняющее определенную функцию преобразования и обработки сигнала и имеющее высокую плотность
упаковки электрически соединенных элементов (или элементов и компонентов) и (или) кристаллов, которое с
точки зрения требований к испытаниям, приемке, поставке и эксплуатации рассматривается как единое целое.
Приведенное определение подразумевает, что интегральная микросхема состоит из электрорадиоэлементов, к
которым относятся транзисторы, диоды, резисторы, конденсаторы и другие устройства. Часть интегральной
микросхемы, которая реализует функцию какого-либо электрорадиоэлемента, выполнена нераздельно от кристалла
или подложки и не может быть выделена как самостоятельное изделие, называется элементом. Та часть микросхемы,
которая может быть выделена как самостоятельное изделие с точки зрения требований к испытаниям, приемке,
поставке и эксплуатации, называется компонентом. В то же время следует иметь в виду, что в последние годы
интенсивно развивается новое направление — функциональная микроэлектроника, некоторые устройства
которой не могут быть разделены на электрорадиоэлементы. Поэтому функциональную микроэлектронику иногда
называют несхемотехнической.
По конструктивно-технологическому исполнению интегральные микросхемы подразделяются на три большие
группы: полупроводниковые, гибридные и прочие (Рисунок В. 1). В группу прочих входят, пленочные, вакуумные,
керамические и другие микросхемы.
Полупроводниковой называется микросхема, все элементы и межэлементные соединения которой выполнены в
объеме и на поверхности полупроводника. Если все элементы и межэлементные соединения микросхемы выполнены
в виде пленок, то она называется пленочной. Гибридная микросхема содержит, кроме пленочных элементов, также
компоненты. В зависимости от толщины пленок и способа их получения пленочные и гибридные микросхемы подразделяются на тонко- и толстопленочные.
Тонкопленочная ИМС — интегральная микросхема с толщиной пленок до 1 мкм, элементы которой
изготовляются преимущественно методами вакуумного распыления и осаждения.
Толстопленочная ИМС — интегральная микросхема с толщиной пленок 10—70 мкм, элементы которой
изготовляются методами трафаретной печати (сеткография).
Применяются также совмещенные микросхемы. Основу совмещенной микросхемы представляет
полупроводниковый кристалл со сформированными в нем транзисторами и диодами, а пассивные элементы
полностью или частично выполнены в виде напыленных на поверхность кристалла тонких пленок.
Интегральные микросхемы подразделяют па цифровые и аналоговые. Если микросхема предназначена для
преобразования и обработки сигналов, изменяющихся по закону дискретной функции, то она называется цифровой.
К аналоговым относятся микросхемы, предназначенные для преобразования и обработки сигналов, изме няющихся
по закону непрерывной функции, в частности линейные микросхемы.
Рисунок 1.1. Классификация интегральных микросхем по конструктивно -технологическим
признакам.
Чрезвычайно важными характеристиками микросхем являются степень интеграции и плотность упаковки.
Степень интеграции представляет показатель сложности микросхемы и характеризуется числом
содержащихся в ней элементов и компонентов. Если обозначить число элементов и компонентов через N, то
степень интеграции К можно определить по формуле К= = lq/V, где К округляется до ближайшего большего
целого числа.
Так, микросхема, содержащая до 10 (включительно) элементов и компонентов, обладает первой степенью
интеграции; содержащая свыше 10 до 100 элементов и компонентов — второй степенью интеграции и т. д.
4
Кроме того, в зависимости от количества элементов и (или) компонентов конструктивно-технологического
исполнения (металл— диэлектрик — полупроводник (МДП) или биполярные), а также функционального
назначения (цифровые или аналоговые) различают микросхемы малого (МИС), среднего (СИС), большого
(БИС) и сверхбольшого (СБИС) уровня интеграции (Таблица В. 1).
В последние годы появились сверхскоростные интегральные микросхемы (ССИС). Критериями
быстродействия таких микросхем являются: время задержки распространения сигнала для цифровых ИМС не
более 2,5 нс/вентиль, нижняя граница диапазона рабочих частот для аналоговых ИМС свыше 300 МГц.
Таблица 1
Урове
Количество элементов и (или) компонентов, шт.
нь
Цифровые ИМС
Аналоговые
интеграции
ИМС
МДП
Биполярные
МИС
СИС
БИС
До 100
Свыше 100 до 1000
Свыше 1000 до 10000
До 100
Свыше 100 до 500
Свыше 500 до 2000
До 30
Свыше 30 до 100
Свыше 100 до
300
СБИС
Свыше 10000
Свыше 2000
Свыше 300
Плотностью упаковки называется отношение числа элементов и компонентов микросхемы к ее объему.
Микросхемы, предназначенные для использования в конкретной аппаратуре и изготовляемые непосредственно на
предприятии, производящем данную аппаратуру, называются микросхемами частного применения.
В ряде случаев разработчики конкретной радиоэлектронной аппаратуры для улучшения показателей ее
миниатюризации изготавливают так называемые микросборки (МСБ), в состав которых входят элементы, компоненты и
(или) интегральные микросхемы (в корпусах или без них), а также другие электрорадиоэлементы в различных
сочетаниях. Устройство, состоящее из микросборок, интегральных микросхем и компонентов, представляет собой микроблок.
Наибольшими степенью интеграции и плотностью упаковки обладают полупроводниковые интегральные
микросхемы, затем в порядке уменьшения этих показателей следуют тонкопленочные и толстопленочные (в том числе
гибридные) микросхемы и микро-сборки.
С точки зрения унификации процессов производства целесообразно применять в определенной аппаратуре
микросхемы единого конструктивно-технологического исполнения (полупроводниковые или гибридные).
Совокупность типов интегральных микросхем, выполняющих различные функции, имеющих единое
конструктивно-технологическое исполнение и предназначенных для совместного использования в РЭА и ЭВА,
образует серию микросхем.
Наиболее современным результатом поступательного развития и взаимного обогащения микроэлектроники и
вычислительной техники является разработка и широкое применение микропроцессорных БИС и СБИС.
Микропроцессор (МП) представляет собой программно-управляемое устройство, осуществляющее обработку
цифровой (иногда аналоговой) информации и построенное на основе одной или нескольких БИС или СБИС. С
появлением микропроцессоров в производстве РЭА и ЭВА усилился процесс «вертикальной интеграции», когда
законченное электронное устройство изготавливается в виде одной или нескольких БИС или СБИС, причем и БИС
(СБИС), и устройство в целом разрабатываются и производятся на одном предприятии.
Другим наиболее современным направленном развития интегральных микросхем является производство и
применение матричных БИС (СБИС) па основе базовых кристалле»!, т. е. кристаллов с большим количеством
регулярно расположенных элементов, соединяемых между собой различным образом в зависимости' от
функционального назначения изготавливаемой БИС (СБИС).
Решение задач комплексной' миниатюризации РЭА и ЭВА, усложнение конструкций электронных устройств и
углубление специализации выполняемых ими функций ведут к необходимости более тесного взаимодействия
специалистов в областях схемотехники, системотехники, конструирования и технологии. Другими сло вами,
становится еще более необходимой интеграция знаний и профессий специалистов.
5
2. Пленочные элементыТонкопленочные резисторы (R). Виды, форма. Методы расчета.
Материалы и требования, предъявляемые к ним. Способы корректировки номиналов.
Тонкопленочные конденсаторы (С). Методы расчета. Материалы и требования, предъявляемые
к ним. Методы подгонки. Тонкопленочные индуктивности (L). Методы расчета. Топология.
Материалы и требования, предъявляемые к ним. Токопроводящие системы ИС (ТС).
Межсоединения, контактные площадки, контакты. Ме - п/п. Классификация. Требования к
материалам. Многослойные систем металлизации. Особенности многоуровневой системы
металлизации ИС.
2.1 Резисторы
Материалы, применяемые для изготовления тонкопленочных резисторов, должны обеспечивать возможность
получения широкого диапазона стабильных сопротивлений, обладающих низкой температурным коэффициентом
сопротивления (ТКС) и высокой коррозионной стойкостью. Тонкопленочные резисторы можно изготавливать из металлов, сплавов, полупроводников и смесей металлов и неметаллов (керметов).
С конструктивной точки зрения применяются резисторы различной конфигурации, которые завершаются
контактными переходили, образованными резистивной полоской и контактной площадкой (Рисунок 2.1.1).
Наиболее оптимальна форма резистора, изображенного на рисунке 2.1.1 а. Однако, если расчетная длина
резистора оказывается чрезмерно большей и резистор не может быть размещен на подложке в одну линию, его
выполняют в виде изогнутых полосок - меандр (Рисунок 2.1.1 б,в). При масочном метода изготовления резисторов
отношение длины полоски l к ширине b не должно превышать 10 (Рисунок 2.1.1 ,а, 2.1.1,в). Дня резисторов формы
меандр (рис 2.1.1 б ) отношение амплитуды меандра к расстоянию между полосками a также не должно превышать
Рис 2.1.1. Тонкопленочные резисторы различных конструкций:
а) - линейчатый; б) - меандрический; в) - меандрический с проводящими элементами, I - резистивная пленка; 2 контактная площадка
6
Рисунок 2.1.2,. График для выбора удельного поверхностного сопротивления. 1 - ра = 10 Ом/с; 2 - ра = 100 Ом/с; 3
- ра = 1000 Ом/с; 4 - ра = 10000 Ом/с;
При фотолитографическом методе эти соотношения критичны и зависят от площади, отведенной для резистора.
Конструктивный расчет резисторов линейчатого типа сводится к определению размеров его длины l и ширины b .
Здесь важно соблюдать условие, чтобы при заданной величине сопротивления резистор обеспечил рассеяние заданной
мощности Ра . Основным параметром
пленочного резистора является коэффициент формы Кф.
Kф 
l R

b a
(2.1.1)
где l - длина резистора; b - его ширина; R - сопротивление; Ра - Удельное поверхностное сопротивление, Ом/см.
Удельное поверхностное сопротивление ра представляет собой сопротивление квадрата пленки любого размера и
численно равно отношению удельного сопротивления пленочного слоя к его толщине, что наглядно следует из
соотношения R  
l
при l = b, где d толщина пленки.
dB
Удельная мощность, которую может рассеять единица площади резистора
P0 
Pa
S
(2.1.2)
Тогда расчетная ширина резистора bp определяется из условия допустимой рассеиваемой удельной мощности Pо
как
bp 
a Pa

R P0
(2.1.3)
Расчетная ширина резистора должна быть не меньше той, которая может быть выполнена при современном
состоянии технологии. За ширину резистора принимают ближайшее к расчетное большее целое значение, кратное шагу
координатной сетки, принятому для чертежа топологий. После окончательного выбора b определяется длина резистора
l , если Kф>I
(2.1.4)
если Kф<I, то
(2.1.5)
В настоящее время при масочном методе обычные предельные размеры резистора составляют: b ~ 0,2 мм и l ~ 0,3
мм. Предельные размеры при фотолитографическом методе l = b = 0,1 мм.
Выбор удельного поверхностного сопротивления Р a может быть сделан по графику Рисунок 2.1.2, а затем, исходя
из ра , может быть выбран материал резистивной пленки.
в тех случаях, когда Kф>10, целесообразно конструирование резисторов сложной формы. Полагая (из Рисунок
2.1.1,6), что длина резистора равна длине его средней линий (это допущение дает несколько завышенное
сопротивление), имеем
Kф 
lср
b
(2.1.6)
Из Рисунка 2.1.1, б следует:
lcp n(a  b)
(2.1.7)
7
где
n - число
Z - образных элементов
L=n(a+b)
B
l ср  an
n
(2.1.8)
(2.1.9)
Площадь, занимаемая резистором вместе с изолирующей зоной, минимальна, если резистор имеет квадратную
форму, т.е. L = В , тогда, приравнивая выражения (1.8) и (1.9) и решая полученные соотношения относительно n,
получим
2
l ср
1 a 
a
n

(2.1.10)

 
4  a  b  2( а  b ) 2( a  b )
Обозначим
a
= m , тогда
b
n
2
l ср
1 m 
m

(2.1.11)

 
4  m  1  2(m  1) 2(m  1)
2
l ср
1 m 
m
Величинами
по сравнению с отношением
можно пренебречь, тогда

 и
4  m 1
b
2(m  1)
n
Kф
м 1
(2.1.12)
Обычно n в формуле (1.12) бывает число с дробны остатком. Округляя до ближайшего большего целого,
определяем размеры резистора L' и В' по формулам (1.8) и (1.9).
В заключение необходимо проверить условие обеспечения жесткости маски:
Bb
 10
a
Для фотолитографического метода это условие некритично.
(2.1.13)
8
2.2 Конденсаторы
Характеристики тонкопленочных конденсаторов зависят как от материала диэлектрического слоя, так и от материала
обкладок. Материал обкладок конденсатора должен удовлетворять следующим требованиям: иметь низкое электрическое
сопротивление (для ВЧ конденсаторов); ТКЛР, равный или близкий к ТКЛР подложки и диэлектрического слоя; иметь
хорошую адгезию как к подложке, так и к ранее напыленным пленкам; обладать высокой антикоррозионной стойкостью в
условиях агрессивной среды.
Для изготовления обкладок конденсаторов чаще всего применяются следующие материалы: алюминий А-99 ГОСТ
11069-74, тантал ТВЧ ТУ 95.311-75; титан BTI-0 ТУ I-5-III-73. Алюминий по сравнению с другими металлами (например,
никелем, хромом, золотом) обеспечивает значительно меньшее число коротких замыканий между обкладками через
диэлектрик. Это объясняется низкой температурой испарения алюминия и пониженной подвижностью его атомов на поверхности подложки из-за тенденции к окислению.
Материалы, применяемые для изготовления диэлектрических слоев, должны удовлетворять следующим требованиям:
иметь высокое значение диэлектрической проницаемости; минимальный температурный коэффициент емкости (ТКИ);
минимальные потери энергии на высокой частоте ( tg  ); обладать высокой влагоустойчивостыо и теплостойкостью;
обеспечивать получение плотных и однородных пленок; иметь хорошую адгезию как к подложке, так и к материалам
обкладок; обладать высокой электрической прочностью.
Наиболее часто применяют моноокись кремния SiО , трехсернистую сурьму Sb2S3 моноокись германия GеО . Можно
также использовать сульфид цинка ZnS , фтористый магний MgF2, и некоторые редкоземельные окислы и фтористые
соединения. Возможно применение ферроэлектрических пленок, например, титаната бария. ВаТiO3 и смеси титаната бария с
титанатом стронция ВаТiO3 + SrTiO3. Для получения конденсаторов большой емкости используют анодированные пленки
тантала Та2O5 , а также анодированный алюминий Al2O3.
В тонкопленочных микросхемах различают преимущественно три варианта конструкции конденсаторов:
конденсаторы с трехслойной структурой (две проводящие обкладки, разделенные диэлектриком); многослойные
конденсаторы, отличающиеся от предыдущего варианта повторяющимся нанесением проводящих и диэлектрических
пленок; гребенчатые конденсаторы, у которых емкость образуется за счет краевого эффекта. Многослойные конденсаторы
выполняются для расчетной площади > 1-2 мм2. Разновидности конденсаторов приведены на рисунке 1.3.
Если расчетная площадь конденсатора S*- I мм , его можно выполнять либо в виде последовательно соединенных
конденсаторов (Рисунок 1.3,б), либо в виде двух пленочных обкладок и подложкой в качестве диэлектрика (Рисунок 1.3,г).
Такая конструкция позволяет получить емкость порядка нескольких пикофарад на I см площади. Для получения еще
меньшей емкости (доли пикофарады) можно выполнить гребенчатые конденсаторы (рисЛ.З.д) или конденсаторы в виде дзух
параллельных полосок (Рисунок1.3,е). Емкость гребенчатого конденсатора определяется по формуле:
C= 
 рl (2.2.1)
где  - коэффициент, определяемый по графику, приведенному на рисунке 1.46; l - длина общей границы двух
гребенок;  р - результирующая проницаемость подложки и среды (воздух или заливка)
p 
где
п  е
2
(2.2.2)
п - проницаемость подложки;  е - проницаемость среды.
Конструктивный расчет тонкопленочного конденсатора сводится к определению его геометрических размеров S и d и
удельной емкости Со.
Исходными для расчета являются: номинальная емкость С (пФ), относительное отклонение номинального значения
емкости  рабочее напряжение Up (В), рабочая частота f (МГц).
Расчет конденсаторов с площадью перекрытия обкладок 5 мм2 и более (Рисунок 1.3,а) ведут в следующем порядке.
Вначале определяют толщину диэлектрика
d
UpKз
Е пр
(2.2.2)
где Епр- пробивное напряжение для выбранного материала диэлектрика (В/см); Кз- коэффициент запаса (Кз = 2-4).
Затем вычисляют максимально допустимую относительную погрешность воспроизведения площади конденсатора
 с.дол.   с   с0   се   с см (2.2.3)
где  с 0 - погрешность воспроизведения удельной емкости (составляет 5-10$);  с е - температурная погрешность
9
емкости:
αс - температурный коэффициент емкости (ТKЕ) материала диэлектрика (I°/C); T - максимальная рабочая температура
конденсатора (I/°С);  с см - погрешность емкости, обусловленная старением тонкопленочных конденсаторов (не превышает
2-3%).
Впоследствии оценивают удельную емкость материала диэлектрика по формулам
C '0  0,0885

(2.2.4)
d
Кф


C"0  C c.доп  
(2.2.5)
2
 А  (1  К ф )
где А - абсолютная погрешность воспроизведения размеров конденсатора (для масочного метода А = +0,001 см);
К ф =A1/B1 - коэффициент формы конденсатора.
Окончательное значение удельной емкости Сд выбирается из условия
C0’ > C0 < C0” (2.2.6)
(%)
Рисунок1.3. Разновидности конструкций тонкопленочных конденсаторов:
а - с активной площадью перекрытия обкладок S > 5 мм2; б - с S -= 1-5 мм2; в,г - с S < 1 мм2; д - гребенчатая; в - в виде
двух параллельно расположению проводящих пленок. 1 - диэлектрик; 2,3 - обкладки; 4 - подложка.
В дальнейшем уточняемся толщина диэлектрика по формуле
d  0,0885

d
(2.2.7)
Минимальная толщина диэлектрика ограничивается электрической прочностью, а максимальная - возможностями
пленочной технологии. Чаще всего толщина диэлектрика находится в пределах от 0,3-0,5 до I мкм. После окончательного
выбора d уточняется величина^. Из соотношения S=~c~ определяет активную площадь перекрытия S обкладок
конденсатора» Геометрические размеры конденсатора рассчитывают по следующим формулам:
верхней обкладки
10
A1  SK ф 
B1 
A
(1  К ф ) (2.2.8)
 sддо
A1
А 1  К ф


K ф  sддо К ф
(2.2.9)
нижней обкладки
A2=A1+2(  A+  ) (2.2.10)
B2=B1+2(  A+  ) (2.2.11)
диэлектрика
A3=A2+2(  A+  ) (2.2.11)
B3=B2+2(  A+  ) (2.2.12)
где  - погрешность установки и совмещения масок (см).
При площади перекрытия обкладок 1-5 мм2 необходимо учитывать краевой эффект. Емкость конденсатора в данном
случае вычисляется по формуле
C  0,0885

(2.2.13)
Kd
где k - поправочный коэффициент, который определяется из графика, представленного на рисунке 1.4.
С учетом краевого эффекта для получения заданной емкости конденсатора необходимо уменьшить его площадь в к
раз. В остальном конструктивный расчет подобных конденсаторов не отличается от изложенного выше.
Емкость гребенчатого конденсатора определяется по формуле
C
1   2
l (2.2.14)
2
где  - коэффициент, значение которого определяется из графика Рисунок1.4,б; 1 , 2 - диэлектрическая
проницаемость соответственно материала подложки и окружающей среды; l - длина совместной границы двух проводников.
Для электрического соединения различных элементов микросхем и микросборок на подложке используют
тонкопленочные проводники, которые должны быть выполнены из материалов с высокой проводимостью и адгезией к
подложке. Конфигурацию таких проводников выбирают в виде полосок минимальной ширины, определяемой возможностями технологии с учетом максимального тока, протекающего по этому проводнику. Допустимую величину плотности
тока принимают j = 20 А/мм2. Технологический процесс получения микросхем значительно упрощается, если для
внутрисхемных соединений и контактных площадок используют одинаковые Материалы. Наиболее подходящим для
Проводников является алюминий, однако очень трудно обеспечить хорошее механическое и электрическое соединения с
алюминиевой пленкой. Можно применять также такие материалы как серебро и золото, однако это не всегда экономически
оправдано. Все эти материалы, обладая высокой проводимостью, имеют сравнительно низкую адгезию к подложке. Поэтому
зачастую используют двух- или, трехслойные пленочные структуры для межсоединений. Для достижения высокой адгезии
напыляют подслой из хрома или нихрома на подложки из ситалла, стекла, керамики или на межслойную изоляцию из
монооксида кремния. Материал следующего слоя выбирают из условия хорошей проводимости и возможности
подсоединения внешних выводов. Обычно для этих целей используют золото, никель, медь вакуумной плавки и алюминий.
Иногда применяют трехслойные структуры.
11
Рисунок 2.4. Зависимости, характеризующие изменение поправочного коэффициента от конструктивных параметров
пленочного конденсатора: а - для конденсатора, показанного на рисунке 1.3,6, б;
б - для конденсаторов, показанных на рисунке 1.3, д,е.
В таблице приведены характеристики некоторых материалов, применяемых для проводников и контактных площадок
гибридных микросхем.
Характеристики материалов, применяемых для проводников и контактных площадок
Материал
подслой-нихром
слой - золото
подслой - нихром
слой - медь
покрытие - никель
подслой - нихром
слой - медь
покрытие - серебро
подслой - нихром
слой - медь
покрытие - золото
подслой - нихром
слой - алюминий
покрытие - никель
Толщина
слоя, нм
10-30
600-800
10-30
600-800
50-60
Ρа, Ом/а
Рекомендуемый способ
Контактирования внешних выводов
0,03-0,04
пайка микропаяльником или сварка
импульсным косвенный' нагревом
сварка импульсным косвенным
нагревом
0,02-0,04
10-30
400-1000
80-100
0,02-0,04
10-30
600-800
50-60
0,02-0,04
40-50
250-350
50
0,-0,2
пайка микропаяльником или
сдвоенным электродом, сварка
импульсным косвенным нагревом или
сдвоенным электродом
пайка микропаяльником или сварка
импульсным косвенным нагревом
пайка сдвоенным электродом
В конструкции тонкопленочной интегральной микросхемы часто один проводник пересекает другой. В месте
пересечения проводники должны быть изолированы друг от друга тонкой пленкой диэлектрика. Каждое пересечение должно
иметь сопротивление проводников не более 0,8 Ом/см, а емкость не более пФ. При выборе материала межслойной изоляции
и прилегающих проводников необходимо учитывать совместимость материалов. Несовместимость может иметь место, например, при использовании хрома для проводника и моноокиси кремния для изолятора. Хром будет диффундировать в
моноокись кремния, снижая пробивное напряжёние. Может возникнуть и другое явление: гальвано-диффузионный эффект.
Этот эффект появляется в структуpax металл-диэлектрик-металл, он увеличивает ток утечки в места пересечения
проводников и разрушает проводники. Для изоляции проводников в большинстве случаев применяют моноокись кремния и
халькогенидное стекло.
2.3 Индуктивности
Для комплексной микроминиатюризации радиоэлектронной аппаратуры наряду с пленочными резисторами и
конденсаторами необходимо иметь и пленочные индуктивные элементы. Уменьшение размеров индуктивных элементов
ведет к уменьшению их самоиндукции, так как последняя зависит от площади, охватываемой элементом. Реально на
площади 1 см2 можно выполнить элемент с индуктивностью не более 1 мкГн, используемый на частотах не ниже 40—50
МГц. При больших значениях индуктивности следует применять навесные катушки индуктивности с ферромагнитными
сердечниками-.
Конструкции пленочных элементов индуктивности. Возможными конструктивными решениями пленочных
индуктивных элементов могут быть: линейчатая полоска (Рисунок 2.24, а); одновитковая круглая петля (Рисунок
2.24, б); одновитковая квадратная петля (Рисунок 2.24, в); многовитковая круглая спираль (Рисунок 2.24, г ) ;
многовитковая квадратная спираль (Рисунок 2.24, д).
12
Рисунок 2.5. Пленочные элементы индуктивности.
Формулы для расчета индуктивности. Ниже приводятся формулы для расчета индуктивности указанных выше
конструкций элементов. Формулы эти полуэмпирические и обеспечивают точность в несколько процентов. При
пользовании ими следует учитывать следующее:
главным фактором, определяющим одновитковую петлю, является площадь, заключенная в плоскости петли;
для заданной площади круглая петля соответствует наименьшей длине проводника и, следовательно, наиболее
высокой добротности ;
в многовитковой спирали, если связь между витками достаточно сильная, индуктивность растет пропорцио нально
квадрату числа витков;
в приводимых формулах все размеры даны в сантиметрах, индуктивность – в микрогенри, логарифмы натуральные.
13
3 Резисторы и конденсаторы в «полупроводниковом» исполнении.
Топологические решения. Методы расчета
3.1 Конденсаторы
В качестве конденсаторов, т. е. пассивных элементов полупроводниковых ИМС, предназначенных для
использования их .емкости, чаще всего находят применение обратно-смещенные р — п-gtреходы Кроме того,
применяются структуры типа металл —диэлектрик .— полупроводник (МДП) (в том числе в биполярных микросхемах).
Реже используются структуры типа металл — диэлектрик — металл (МДМ).
На рисунке 3.1.1 изображены структуры конденсаторов полупроводниковых микросхем, а В таблице 3.1.1
представлены ориентировочныезначения их параметров
.
Рисунок 3.1.1. Структуры конденсаторов
полупроводниковых микросхем: а—на основе эмиттерного р—п -перехода транзистора; б—на основе коллекторного
перехода: в - на основе р-n перехода коллектор—подложка; г-на основе параллельно включенных емкостей эмиттерного и
коллекторного р—n-переходов; д—типа металл—диэлектрик—полупроводник.
Поскольку профиль распределения концентрации примесей в вертикальных (боковых) плоскостях пленарных р —
n-переходов, полученных диффузией, значительно отличается от профиля распределения в горизонтальной части р — n переходов и аналитический расчет его затруднителен, В таблице приводятся ориентировочные значения параметров
для обоих случаев. Полная емкость.
Таблица 3.1.1
14
конденсатора при использовании данных Таблица рассчитывается в соответствии с соотношением
(3.1.1)
где Согор, Соверт и Sгор Sверт — удельные емкости и площади горизонтальных и вертикальных плоскостей р — «переходов.
Температурный коэффициент емкости (ТКЕ) конденсатора определяется выражением
(3.1.2)
где Т — температура.
Если в интервале температур (Т 2 —Т 1 ) изменение емкости (С2 — С1) связано с изменением температуры
линейной зависимостью, то ТКЕ описывается формулой
(3.1.3)
Для конденсаторов на основе р—переходов при обратных напряжениях порядка нескольких вольт ТКЕ
составляет величину ас = (2—5) 104 1/град.
Емкость конденсаторов типа металл — диэлектрик — полупроводник рассчитывается следующим образом.
Поскольку полная удельная емкость структуры типа МДП Со состоит из последовательно включенных удельных
емкостей диэлектрика СОд и пространственного заряда в полупроводнике С0П) она может быть определена согласно
соотношению:
(3.1.4)
Удельная емкость диэлектрика является величиной постоянной, определяет максимальную удельную емкость
всей структуры и рассчитывается по формуле
C0 д   д  0 / d д (3.1.5)
 д dи д — диэлектрическая проницаемость и толщина диэлектрической пленки.
Где
Емкость области пространственного заряда в поверхностном слое полупроводника зависит от приложенного к
МДП-конденсатору напряжения.
Если знак и величина приложенного напряжения таковы, что на поверхности полупроводника образуется слой,
обогащенный основными носителями заряда, полная удельная емкость определяется удельной емкостью диэлектрика, т. е.
С0=С0я. (Для структуры, изображенной на рисунке 3.1.1, д, это равенство будет выполняться при приложении к
металлическому электроду, расположенному над окислом, достаточно большого по величине напряжения положительного знака.)
При соответствующих знаке и достаточно большой величине приложенного напряжения в приповерхностном слое
полупроводника под окислом может образоваться инверсионный слой, т. е. слой с обратной по отношению к
нейтральному состоянию полупроводника проводимостью. В условиях сильной инверсии удельная емкость
пространственного заряда Сов постоянна и может быть рассчитана так же, как емкость p—n перехода.
В условиях, промежуточных по отношению к описанным двум экстремальным случаям, полная удельная емкость
МДП-конденсатора рассчитывается согласно соотношению
C0 
Cод
2
1  (2Cод
/ q0 N) U
(3.1.6)
где N — концентрация примесей в полупроводнике; U — приложенное напряжение.
Рассмотренная зависимость емкости МДП-конденсатора на частотах выше 100 Гц от напряжения (вольтфарадная характеристика) иллюстрируется Рисунок 3.1.2. Как видно из рисунка, при отрицательных напряжениях на
металлическом электроде (для полупроводника р-типа) удельная емкость определяется емкостью окисла, при
значительных положительных напряжениях — емкостью пространственного заряда инверсионного слоя в
полупроводнике, при промежуточных значениях напряжения она изменяется согласно соотношению (3.1.5).
15
Рисунок 3.1.2 Зависимость нормализованной удельной емкости МДП-конденсатора от величины и знака приложенного
напряжения.
Ориентировочно структура типа МДП- (см. Рисунок 3.1.1, д) обладает ванной удельной емкости С0 =400 —
600 пФ/мм 2 и пробивным напряжением U пр =10—50 В. ТКЕ составляет величину около а с=10 -4 1/град. Конденсаторы,
как правило, не применяются в современных логических ИМС. В аналоговых микросхемах находят применение
конденсаторы на основе р—«-переходов и иногда — в виде структур типов МДП или МДМ. В запоминающих устройствах
(ЗУ) широко используются емкости р—n-переходов и МДП-структур.
3.2 Резисторы
В качестве резисторов, т. е. пассивных элементов ИМС, предназначенных для использования их электрического
сопротивления, применяются обычно слои полупроводника, создаваемые с помощью диффузии примесей одновременно с
коллекторными или базовыми областями транзисторов. Области, создаваемые вместе с эмиттерами транзисторов,
применяются для этой цели реже, так как они имеют слишком малое удельное сопротивление.
При использовании в технологическом процессе производства ИМС ионной имплантации примесей резисторы
могут создаваться как одновременно с изготовлением областей транзистора, так и независимо. Кроме того, возможно
применение резисторов, полученных путем вакуумного напыления на поверхность полупроводникового кристалла тонких
пленок металлов или сплавов (в этом случае микросхемы называются совмещенными). В последнее время получили
развитие резисторы из поликристаллического кремния, нанесенного на поверхность кристалла.
Структуры резисторов, получаемых путем диффузии примесей, показаны на рисунке 3.1.1. Там же схематично
показано распределение концентрации примесей в слоях полупровоадниковых структур, образующих резистор.
Если микросхема должна содержать резисторы с достаточно высоким сопротивлением (порядка нескольких
десятков килоом и более), то изготовляются так называемые сжатые резисторы (пинч-резисторы). В варианте пинчрезистора, изображенного на рисунке 3.1.1, г, в качестве резистивного слоя используется базовый, а эмит-терный слой
полностью перекрывает резистивную полоску и в полупроводниковой структуре непосредственно контактирует с коллекторным слоем. Соединенные таким образом коллекторный и эмиттерный слои могут играть роль полевых затворов,
если на них подавать обратное по отношению к резистивному слою смещение. Аналогичную конструкцию имеет пинчрезистор, в котором резис-тивным слоем является коллекторная область транзистора (Рисунок 3.1.3 б).бОдним из
основных параметров, характеризующих резистор, я в л я е т с я со п р о т и в л е н и е к в а др а т а п л о щ а д и р е з и с т и в н о г о
слоя ркв. Поясним смысл этого параметра, используя известную формулу для расчета электрического сопротивления
R:
R = pl/(bd) (3.2.1)
где р — объемное удельное сопротивление, Ом-см; l — длина, см;
b u d — размеры поперечного сечения (ширина и толщина) резистивного слоя, см.
Обозначим отношение p/d = pKB, получив таким образом указанный параметр, измеряемый в Ом/кв. Формула
примет вид,
R=p кв l/b (3.2.2)
Использование параметра удельного сопротивления р кв предполагает, что толщина d тонкого слоя или пленки
фиксирована. Другими словами, сравнение удельных сопротивлений тонких слоев ] пленок может производиться по
данному параметру исключительно при фиксированной (но не обязательно одинаковой) их толщин?
Введем понятие коэффициента формы резистора kф — 1/b, с учетом которого формула преобразуется к виду
(3.2.3)
Другим важным параметром резистора является температурный коэффициент сопротивления (ТКС):
R 
1 R

R T
(3.2.4)
16
где Т — температура.
Если в интервале температур (T 2 —T 1 ) изменение сопротивления (R2—R1) связано с изменением температуры
линейной зависимостью, то ТКС описывается формулой
(3.2.5)
Таблица 3.2.1
Nbg
Тип резистора
Эмиттерный
слой
Базовый слой
Коллекторный
слой
Сжатые
резисторы
Номинал
ьные значения
сопротивления,
Ом
2,5-103
150 –
20*103
250 –
10*103
(5 –
500)*103
Погрешность,
%
Удельное
сопротивление, ркв, Ом/кв
ТКС, 1/град
+10
2-6
2*10-3
+10
50-250
2*10-3
+10
200-300
5*10-3
+20
(2-10)*103
5*10-3
17
Рисунок 3.2.1 Структуры резисторов полупроводниковых микросхем: а—на основе эмиттерного слоя; 6—на
основе базового слоя; в—на основе коллекторного слоя; г—сжатый резистор на основе базового слоя; д—сжатый
резистор на основе коллекторного слоя.
Полупроводниковые резисторы обладают паразитной распределенной емкостью, что является их недостатком.
Паразитная емкость может быть охарактеризована коэффициентом
(3.2.6)
где Скв — удельная паразитная распределенная емкость квадрата резистивной полоски, пФ/кв; ,ркв —
сопротивление квадрата резистивной полоски, кОм/кв; b — ширина резистора, мкм. :
Значения коэффициента Кн для некоторых вариантов резисторов приведены В таблице.
К недостаткам полупроводниковых резисторов относятся также сравнительно высокий ТКС и зависимость
номинального сопротивления от величины приложенного к резистору напряжения, которое может модулировать
площадь поперечного сечения резистивной полоски вследствие полевого эффекта. Кроме того, в резисторах,
изолированных р-n-переходом, может проявляться паразитный транзисторный эффект. Максимально допустимое
напряжение зависит от характеристики слоя, образующего резистор, и определяется пробивным напряжением р — лперехода, отделяющего резистивный слой от остальных областей структуры.
Использование ионной имплантации примесей позволяет получать тонкие резистивные слои с высоким
удельным сопротивлением ркв, а также ТКС, слабо изменяющимся в достаточно широком интервале температур.
Применяя
дополнительную селективную обработку резистивного слоя лучом лазера, можно корректировать
сопротивление резистора за счет изменения профиля распределения примесей в данной части слоя.
Достоинствами резисторов, изготовленных нанесением на поверхность кристалла ИМС металлических или
поликристаллических кремниевых пленок, являются независимость их сопротивления от величины напряжения,
поданного на резистор, а также меньшие паразитные емкости и ТКС по сравнению с диффузионными или
имплантированными резисторами. Металлические и поликремниевые резисторы также поддаются корректировке
путем пропускания через них электрического тока (плотность тока в импульсе не менее 106 А/см2) или обработки
лучом лазера. Изменение сопротивления при этом происходит вследствие изменений кристаллической: структуры
пленок (размеров зерен, перераспределения примесей и т. п.).
18
Коэффициент паразитной емкости резисторов
Таблица 3.2.2
2
Коэффициент
К
(пФ/(кОм-мкм
))
при удельном
R
Тип резисторов
сопротивлении эпитаксиального коллекторного слоя р
Базовый слой
Сжатые резисторы
на основе:
базового слоя
коллекторного слоя
р=1
Ом-см 1*10-3
2,7*10-
5
8*10-5
р=6 Ом-см
5*10—4
р=10 Ом-см
4,5*10-4
1,6*10-3
4*10-5
1,1*10-5
2,8*10-5
Расчет диффузионных и имплантированных резисторов заключается в определении их геометрических размеров
с учетом профиля распределения примесей в полупроводниковых слоях. Основными условиями, принимаемыми во
внимание при расчете, являются обеспечение необходимой мощности рассеяния резистора и заданной погрешности
номинального сопротивления. С одной стороны, исходя из условия заданной мощности рассеяния Р и допустимой
удельной мощности Ро, можно выразить площадь, занимаемую резистивным слоем, как S = P/P0 . С другой стороны,
площадь определяется геометрическими размерами S = = l/b. Поскольку длина резистивной полоски равна l=bkф, то
площадь может быть выражена соотношением S=b 2 k ф . Таким образом, минимальная ширина резистивной полоски,
найденная из условия рассеиваемой мощности, определяется выражением
(3.2.7)
Максимально допустимая удельная рассеиваемая мощность составляет Ро=8 Вт/мм 2 для диффузионных и
имплантированных резисторов. Номинальная рассеиваемая мощность полупроводнико вых резисторов обычно не
превышает 10 мВт.
Требования, предъявляемые к допустимой погрешности номинального значения сопротивления резистора, также
ограничивают номинальную ширину резистивной полоски. Если задана допустимая относительная погрешность
сопротивления резистора уя — = AR/R, которая должна обеспечиваться в интервале рабочих температур
микросхемы в течение всего периода эксплуатации (в том числе без электрической нагрузки), то расчет резистора
ведется с учетом ТКС и изменения сопротивления вследствие процессов временного старения.
Относительное отклонение сопротивления вследствие изменения температуры определяется как
 RT   R (T  200 C) (3.2.8)
Относительное изменение сопротивления из-за процессов старения -улт целесообразно учитывать только для
поликремниевых и металлических резисторов, поскольку их пленочная поликристаллическая структура более
чувствительна к воздействию окружающей среды, чем монокристаллические слои диффузионных или имплантированных резисторов. Данные о величинах yRc? являются эмпирическими справочными параметрами.
Кроме того, систематическое отклонение от номинального сопротивления резистора вносится сопротивлениями
контактов. Сопротивление контакта зависит от удельного сопротивления материала резистивного слоя и условий
растекания тока в приконтактной области: Rконт = рквkраст, где коэффициент растекания kраст= 0,14 для резистора с
топологией, изображенной на рисунке 3.2.2, а, и К р аст = 0,65 — на рисунке 3.2.2, б.
Рисунок 3.2.2. Топологические конфигурации полупроводниковых резисторов: а—низкоомный резистор;
б—высокоомный резистор.
Относительное изменение сопротивления резистора вследствие наличия двух контактов составит
 R конт  2R конт / R (3.2.9)
Принимая во внимание указанные систематические отклонения сопротивления резистора от заданного, найдем
расчетное значение допустимой относительной погрешности:
 R РАСЧ    R   R T   R CT   R KOHT (3.2.10)
Полученное значение  Rрасч может быть положено в основу дальнейшего расчета резистора с учетом случайных
отклонений сопротивления, возникающих в процессе изготовления. Исходя из формулы выразим относительную
19
технологическую погрешность (среднеквадратичное
распределения) следующим образом:
Где  кв   /  ,
 l  l / l ,  b  b / b
отклонение
при.
нормальном
законе
статистического
(3.2.11)
- относительные и абсолютные СКО соответствующих величин.
Полагая, что абсолютные среднеквадратичные отклонения геометрических размеров длины и ширины
равны, т. е.  l~  b, и учитывая равенство l=bk ф , преобразуем формулу к виду
(3.2.12)
Из последнего соотношения может быть определена минимальная ширина резистивной полоски:
(3.2.13)
Для типовых технологических процессов изготовления полупроводниковых ИМС можно принимать АЬ = 0,5
мкм и YPKB=0>05.
Полученные в результате расчета по формулам значения ширины резистивной полоски должны быть
сопоставлены с минимальной шириной линии, обеспечиваемой принятой технологией, т. е. с разрешающей
способностью технологии, бтехн. Принимается максимальное из трех полученных значений
(3.2.14)
которое окончательно округляется в большую сторону.
Удельное сопротивление квадрата площади резистивиого слоя зависит от толщины слоя и структуры
резистора. Резистивный слой может быть ограничен одним ( Рисунок 3.2.1, а — в) или двумя (Рисунок 3.3, г) р
— n-переходами. Поскольку примесь в полученном диффузией резистивном слое распределена неравномерно, расчет
удельного объемного сопротивления материала слоя трудо емок. Поэтому целесообразно пользоваться
номограммами, представленными на рисунке 3.5.
«к
Номограммы позволяют найти усредненную удельную объемную проводимость о резистивного слоя в
зависимости от поверхностной концентрации акцепторных примесей Nsа, концентрации донорных примесей в
исходном материале (эпитаксиальном слое) Nd0 и отношения текущей координаты х р—n-перехода (если он
имеется), ограничивающего резистивный слой сверху, к глубине р — n -перехода Xj, ограничивающего
резистивный слой снизу. Например, для резистора, изображенного на рисунке 3.2.1, а, это отношение X|XJ = 0,
поскольку резистивный слой начинается непосредственно на поверхности кристалла.
Таким образом, удельное сопротивление квадрата резистивного слоя
 KB 
1
(3.2.15)
d рез
где dрез = xj — х — толщина резистивного слоя.
Типичные значения р кв для резисторов на основе различных слоев полупроводниковой транзисторной
структуры приведены В таблице.
20
Рисунок 3.2.3. Номограммы для определения проводимости полупроводниковых областей, полученных
диффузией акцепторной примеси, в материал с различной исходной концентрацией донорной примеси Nd:
а) Ndo=1015 см-3; б) Ndo=1016 см-3 в) Ndo=1017 см-3 (3.2.16)
Резисторы широко используются в аналоговых полупроводниковых ИМС, а также в аналоговых
подсистемах БИС и СБИС В логических ИМС и ИМС для запоминающих устройств применение резисторов
постоянно сокращается. Это объясняется переходом к снижению рабочих токов и напряжений, что ведет к
необходимости увеличения размеров резисторов (длины, занимаемой площа ди), т. е. к увеличению размеров ИМС. В
микросхемах с инжекционным питанием, в частности, резисторы как элементы ИМС исключены почти полностью.
Рисунок 3.2.4. Использование диффузионных областей
для создания пересечений дорожек металлизации в микросхемах:
а—с изоляцией р—n-переходом; б—с диэлектрической
изоляцией.
С помощью низкоомных резистивных слоев в полупроводниковых ИМС выполняются пересечения
токопроводящих дорожек межсоединений (Рисунок 3.2.2). При этом металлическая или поликремниевая дорожка
проходит поверх окисла, в то время как низкоомная резистивная дорожка — под окислом.
21
4. Конструкционная основа для ИС
Материалы для подложек и плат ГИС и ПлИС. Ситаллы, стекла, керамика, металлы и
органические материалы. Требования к материалам подложек. Полупроводниковые материалы
пластин и кристаллов ПИС и СИС. Методы получения слитков. Этапы получения п/п пластин.
Методы получения «пластинчатого» кремния и сапфира (метод Степанова). Требования к п/п
материалам пластин. Обозначение и маркировка пластин. Технико-экономические аспекты
изготовления пластин для СБИС и УБИС.- Материалы для подложек и плат ГИС и ПлИС. Сталлы,
стекла, керамика, металлы и органические материалы. Требования к материалам подложек.
Полупроводниковые материалы пластин и кристаллов ПИС и СИС. Методы получения слитков.
Этапы получения п/п пластин. Методы получения «пластинчатого» кремния и сапфира (метод
Степанова).Требования к п/п материалам пластин. Обозначение и маркировка пластин. Технико экономические аспекты изготовления пластин для СБИС и УБИС.
Подложкой называется основание в виде заготовки, предназначенной для расположения на ней пленочных
элементов, навесных компонентов, межэлементных или межкомпонентных соединений и контактных площадок
гибридных микросхем. Часть подложки, на которой располагается одна микросхема, называется платой. Подложка
является важным конструктивным элементом ГИС, БГИС и МСБ, в значительной мере определяющим электрические и
механические характеристики микросхем, их стабильность и надежность. Подложка должна обладать высокими
электрическим сопротивлением и электрической прочностью, обеспечивать малые потери энергии на высоких
частотах (малый тангенс угла диэлектрических потерь), иметь высокую механическую прочность при малой толщине,
хорошую теплопроводность, а также обеспечивать возможность проведения технологических процессов, т. е.
обработки поверхности до высокого класса чистоты, нагревания до температуры 500—600 °С при напылении пленок и т.
д.
Наиболее широко применяемыми материалами для подложек являются керамика, ситалл и стекло. Керамикой
называются материалы, получаемые спеканием порошков окислов алюминия, бериллия и других элементов. Основной
минералогической фазой керамики на основе оксида алюминия является корунд (кристаллофаза  — Аl2О3).
Корундовая керамика обладает способностью образовывать вакуумплотные спаи с металлами и сплавами, что
позволяет использовать ее не только в качестве материала для подложек и плат, но и для изготовления
металлокерамических корпусов микросхем.
Свойства корундовой керамики зависят от содержания в ней основной фазы, т. е. А12О3. Чем выше содержание
А12О3, тем лучшими характеристиками обладает керамика, однако при этом повышается ее стоимость. Наиболее
широкое применение находит керамика типа ВК 94-1 (прежнее наименование 22ХС). При удовлетворительных
свойствах затраты на ее получение относительно невелики. Керамика с содержанием корунда 99,8% (например, типа
ВК 100-1) носит название поликор. В отличие от керамики ВК 94-1 она имеет лучшие электрические характеристики,
более высокую теплопроводность, поддается полированию, но обладает более высокой стоимостью.
Для подложек мощных микросхем и микросборок используется керамика на основе окиси бериллия ВеО,
называемая также брб-керитом. Ее основное достоинство — высокий коэффициент теплопроводности, составляющий
примерно 2 Вт/(см*град). Однако подобная керамика с трудом обрабатывается, а пыль, образующаяся при ее
обработке, токсична.
Ситаллы представляют собой аморфно-кристаллические стекла. Они допускают обработку поверхности до
высокого класса чистоты, обладают высокой механической прочностью, удовлетворительной теплопроводностью.
Ситаллы очень широко используются в качестве подложек для тонкопленочных микросхем. Некоторые свойства
корундовой керамики и ситаллов наиболее употребительных марок приведены В таблице 4.1.
Бесщелочные стекла марок С41-1, С48-3 и другие иногда применяются в качестве подложек микросхем там,
где не требуется хорошей теплопроводности и значительной механической прочности. Теплопроводность стекол
несколько ниже теплопроводности ситаллов, а прочность на изгиб — меньше приблизительно в два раза. Однако
стекла легко обрабатываются до получения качественной гладкой поверхности и довольно дешевы.
Помимо описанных основных материалов, подложки могут изготавливаться из металлов и полимеров.
Стальные и медные подложки, покрытые эмалью, иногда находят применение для мощных низкочастотных
микросхем. Использование полимерных материалов (чаще всего тонких лент из полиимида) целесообразно с точки
зрения автоматизации технологического процесса. Кроме того, подобным микросхемам может быть придана более
удобная, чем плоская, форма. Например, полиимидная пленка с нанесенными на нее элементами может быть свернута
в плотную цилиндрическую спираль и т. п.
При изготовлении тонкопленочных микросхем плохо поддающаяся полировке керамика (например, ВК 94-1)
для улучшения качества поверхности покрывается глазурью, т. е. тонкой (0,1— 0,2 мм) стекловидной пленкой,
прочно сплавляющейся с керамикой. Кроме того, для изготовления толстопленочных микросхем поверх ность
подложек должна сохранять определенную шероховатость для улучшения адгезии пленок.
22
Размеры подложек и плат ограничиваются стандартами. Наиболее употребительные размеры подложек и плат
из керамики и си-талла приведены ниже.
Длина, мм
Ширина, мм
60
48
48
30
30
24
24
20
20
16
16
12
16
10
12
10
10
8
Кроме того, для крупногабаритных МСБ изготавливаются подложки с размерами 120X96 и 96X60 мм.
Возможно также использование плат с размерами, меньшими, чем 10X8 мм, в частности, для производства
навесных компонентов (чипов) с нестандартными параметрами (резисторов и т. п.).
Заметим, что платы из ситаллов изготавливаются путем разрезания подложек с исходными размерами 60X48
мм, а платы из керамики — сразу с необходимыми размерами, поскольку керамика плохо поддается размерному
разделению.
Толщина подложки и плат из керамики и ситалла составляет 0,2—1,6 мм. Наиболее часто используются
платы толщиной 0,6 мм.
23
5
Базовые технологические процессы изготовления ИС.
Методы получения пленок. Вакуумные.Вакуумно-термическое испарение. Его разновидности:
лазерное, электронно-лучевое, «взрывное». Особенности испарения сплавов и композиционных
смесей. Типы и конструкции испарителей. Плазменные методы получения пленок. Плазма.
Тлеющий разряд. Определение и терминология. Классификация плазменных процессов и
конструктивное оформление распылительных устройств. Катодное распыление (КР). Реактивное
распыление (РР). Ионно-плазменное распыление (ИПР). Высокочастотное распыление. Распыление со
смещением. Ионное распыление. Термоионное распыление. Магнетронные распылительные
устройства. Упрощенное описание механизма распыления. Схема устройства. Получение пленок из
газовой фазы. Сущность, основные понятия и определение эпитаксии. Факторы влияющие на
эпитаксию. Хлоридные и силановый методы получения эпитаксиальных слоев. Легирование при
эпитаксии. Гетероэпитаксия (КНС - кремний на сапфире). Функции диэлектрических пленок в
ИЭОТ. Требование, предъявляемые к ним. Термическое окисление Si - базовый процесс получения
аморфных слоев в технологии ИС. Окисление в сухом, в кислороде и в парах воды. Окисление под
давлением, в хлорсодержащей среде. Пиролитическое получение пленок из газовой фазы при
нормальном и пониженном давлении. Плазмохимическое осаждение. Получение пленок
поликристаллического кремния (ПКК), оксида и нитрида кремния в технологии ИЭОТ. Параметры
процесса и их контроль.
5.1 Вакуумно-термическое испарение
Все вещества в зависимости от температуры нагрева могут находиться в одном из трех фазовых (агрегатных)
состояний: твердом, жидком или газообразном (парообразном). Испарение, т. е. переход вещества в парообразное
состояние, происходит, когда с повышением температуры средняя колебательная энергия его частиц возрастает
настолько, что становится выше энергии связи с другими частицами и они покидают поверхность (испаряются) и
распространяются в свободном пространстве.
Условной, практически установленной температурой испарения считается температура, при которой давление насыщенного
пара вещества составляет приблизительно 1,3 Па.
Температуры плавления и испарения наиболее важных элементов приведены В таблице. Из этой таблицы видно,
что условная температура испарения большинства элементов выше их температуры плавления, т. е. испарение
происходит из жидкого состояния. Некоторые вещества имеют условную температуру испарения ниже температуры
плавления, т. е. они достаточно интенсивно испаряются из твердого состояния. Процесс перехода вещества из твердого
состояния в парообразное, минуя жидкую фазу, называют сублимацией (или возгонкой).
Скорость испарения, т. е. количество вещества (в граммах), покидающее 1 см свободной поверхности в 1 с при
условной температуре Тy , рассчитывают по формуле
(5.1.1)
Где М - молекулярная масса, г/моль.
По этой формуле можно определить, например, какова скорость испарения алюминия, имеющего М = 27 и Ту 1423:
(5.1.2)
Скорость испарения большинства элементов при Ty составляет 10 г/(см 2.с). Для получения приемлемых
скоростей роста пленки, а также экономного расходования материала (нередко дорогостоящего) следует создавать
условия движения частиц испаряемого вещества преимущественно по направлению к подложке. При этом необходим
достаточно глубокий вакуум, при котором исключаются столкновения молекул остаточного газа с молекулами
вещества и рассеивание их потока на пути к подложке.
Поток испаренного вещества, состоящий из молекул (атомов) , не претерпевающих на своем пути столкновений и
рассеяний и движущихся вследствие этого прямолинейно, называют молекулярным потоком. Для определения условий
существования молекулярного потока удобнее характеризовать степень вакуума не давлением остаточного газа, а
средней длиной свободного пробега его молекул.
Из формулы (3) следует, что уже при давлении р = 10-2 Па средняя длина свободного пробега молекул  составляет
50 см, что превышает реальное расстояние от испарителя до подложки (обычно не более 30 см) . Таким образом, для
создания прямолинейных траекторий движения молекул вещества в пространстве между испарителем и подложкой
-4
24
необходимо давление порядка 10-3 - 10-5 Па.
Температуры плавления и испарения элементов
Таблица 5.1.1
Кроме того, необходимо обеспечивать равномерность распределения толщины пленки на подложке, что является
одним из основных ее параметров. Толщина пленки в данной точке подложки определяется количеством частиц,
достигающих ее в единицу времени. Если бы поток наносимых частиц был одинаков на всю поверхность подложки,
пленка получалась бы одинаковой толщины. Однако площадь испарителей вещество много раз меньше площади
подложкодержателей (поэтому их называют точечными источниками) . В результате добиться равномерности потока
невозможно. Как видно из Рисунок 5.1 а, скорость нанесения пленки будет неодинакова в точке 0 и в точках А и В: чем
дальше от оси 0S эти точки, тем ниже скорость нанесения пленки и тем меньше ее толщина за данное время нанесения.
При плоском подложкодержателе неравномерность толщины пленки составляет ± 20 %.
Наиболее простым способом снижения неравномерности распределения пленки по толщине является увеличение
расстояния dип. Однако это уменьшает скорость конденсации пленки, что отрицательно сказывается на ее свойствах.
Максимально возможное расстояние dип ограничено размерами рабочей камеры установки.
На практике применяют более сложные способы, одним из которых является придание подложкодержателю
сферической формы (Рисунок 5.1,6).
Неравномерность толщины пленки снижается при этом до ± 10 %. Если этого недостаточно, используют систему с
двойным вращением, так называемую планетарную карусель (Рисунок 5.1 17, в), состоящую из приводной вращающейся
оси 9, на которой установлены три подложкодержателя
7. Каждый подложкодержатель может вращаться вокруг
собственной оси 8 при обкатывании по кольцу 6 . Так осуществляется планетарное движение подложек. Планетарные
карусели стоят довольно
25
Рисунок 5.1.1 . Схемы осаждения пленок из точечного источника на плоский (а) и сферический под-ложкодержатели (б) и на
планетарный подложкодержатель с двумя направлениями вращения (в):
1,5, 7 — плоский, сферический и планетарный подложкодержатели, 2 - подложки, 3 — поток осаждаемых частиц, 4 — точечный
источник потока осаждаемых частиц, 6 — кольцо, 9 - ось подложкодержателя, 9 — приводная вращающаяся ось
дорого, однако при их использовании неравномерность пленок по толщине составляет ± (3 - 4) %.
Для проверки неравномерности толщины нанесенных пленок в пяти точках на пластине — в центре и по краям
взаимно перпендикулярных диаметров — измеряют с помощью микроскопа МИИ-4 толщину пленки dп. Выбирают из
полученных значений толщины максимальное dmax и минимальное dmin и вычисляют (%) неравномерность (отклонение
толщины от среднего значения) по формуле
(5.1.3)
Рассмотрим пример расчета неравномерности толщины пленки. Получены замеры в пяти точках(нм): 1260,
1255, 1290, 1280, 1265. Из этого ряда выберем dmax = 1290 и dmin = = 1255 и вычислим неравномерность по формуле (5) :
(5.1.4)
Процесс испарения и качество нанесенных пленок в значительной мере определяются типом и конструкцией
испарителей, которые могут иметь резистивный или электронно-лучевой нагрев. Выбор типа испарителя зависит от
вида испаряемого материала, его агрегатного состояния и температуры в процессе испарения, а также других факторов.
26
5.2. Типы и конструкции испарителей
Нагрев электропроводящего тела, обладающего высоким электрическим сопротивлением при прохождении через
него электрического тока, называют резистивным. При этом, как правило, используют переменный ток.
Достоинства резистивного нагрева — высокий КПД, низкая стоимость оборудования, безопасность в работе
(низкое напряжение на зажимах) и малые габаритные размеры. Факторами, ограничивающими применение испарителей с
резистивным нагревом, являются возможность загрязнения наносимой пленки материалом нагревателя, а также малый
ресурс работы из-за старения (разрушения) нагревателя, что требует его периодической (иногда довольно частой)
замены.
Испарители этого типа различных конструктивных вариантов могут быть с непосредственным или с косвенным
нагревом испаряемого вещества.
Материалы, используемые для изготовления испарителей, должны отвечать следующим требованиям.
Испаряемость материала испарителя при температуре испаряемого вещества должна быть пренебрежимо малой.
Для хорошего теплового контакта материал испарителя должен хорошо смачиваться расплавленным испаряемым
веществом. Между материалом испарителя и испаряемым веществом не должны происходить никакие химические
реакции, а также образовываться легкоиспа-ряемые сплавы, так как это приводит к загрязнению наносимых пленок и
разрушению испарителей.
Для изготовления испарителей промышленных установок используют тугоплавкие металлы (вольфрам, тантал,
молибден).
Следует особо отметить, что алюминий, применяемый для нанесения пленок, в расплавленном состоянии
обладает высокой химической активностью и взаимодействует практически с любыми металлами, из которых
изготовляют испарители. Это значительно снижает их срок службы. Поэтому такие испарители являются одноразовыми
и после каждого процесса испарения их заменяют.
В испарителях с непосредственным нагревом ток в несколько десятков ампер проходит непосредственно через
испаряемый материал. Такой метод испарения может быть применен только для сублимирующихся металлов, т. е.
металлов, температура плавления которых выше температуры испарения (хром, титан и др. - см. Таблица 2).
Основное достоинство этих испарителей - отсутствие теплового контакта между их нагретыми элементами и
испаряемым металлом, что обеспечивает высокую чистоту наносимой пленки. Однако они обеспечивают низкую скорость
испарения, дают возможность испарять малое количество материала, который может быть использован только в виде
ленты или проволоки, а также не позволяют испарять диэлектрики и большинство металлов. Сечение таких
испарителей должно быть одинаковым на всем протяжении, иначе в месте утонения возникает перегрев и они
перегорают.
Испаритель с резистивным непосредственным нагревом показан на рисунке 5.2.1. Испаряемый материал 3 в
виде проволоки или ленты вставляют в изготавливаемые из титана или нержавеющей стали и закрепляемые винтами 2
массивные контактные зажимы 1, к которым подводится электропитание. Для снижения тепловых потерь за счет
излучения, а также ограничения потока пара 4 в направлении к подложке 5 служит многослойный экран 6.
Испарители с косвенным нагревом, в которых испаряемое вещество нагревается за счет теплопередачи от
нагревателя, более универсальны, так как позволяют испарять проводящие и непроводящие материалы в виде порошка,
гранул, проволоки, ленты и др. Но при этом из-за контакта с нагретыми частями испарителя, а также из-за испарения
материала подогревателя осаждаются менее чистые пленки.
Поверхность резистивных испарителей предварительно очищают, промывая в растворителях. Часто их также
отжигают в вакууме. Так как форма испарителя с косвенным нагревом зависит от агрегатного состояния, в котором
находится испаряемый материал, их подразделяют на проволочные, ленточные и тигельные.
Рисунок 5.2.1. Испаритель с резистивным непосредственным нагревом:
1 — контактный зажим, 2 - винт, 3 -испаряемый материал, 4 — поток пара, 5 — подложка, 6— многослойный экран
27
П р о в о л о ч н ы е и с п а р и т е л и применяют для испарения веществ, которые смачивают материал
нагревателя. При этом расплавленное вещество силами поверхностного натяжения удерживается в виде капли на
проволочном нагревателе. Проволочные испарители изготавливаются V- и W-образной формы, а также спирале- и
волнообразной.
Проволочный испаритель простейшей конструкции (Рисунок 19, а) используют для нанесения пленок
алюминия, который хорошо смачивает вольфрамовый проволочный нагреватель — цилиндрическую проволочную
спираль 2. Испаряемое вещество в виде скобочек (гусариков) 3 навешивают на спираль, которую отогнутыми
концами 1 вставляют в контактные зажимы. По мере нагрева это вещество плавится и формируется на проволоке в виде
капель. Снизу размещаются тепловой и ограничивающий экраны. Проволочные испарители предназначены для создания
протяженного потока испаряемого материала, что достигается использованием одновременно нескольких навесок.
При плохой смачиваемости испаряемого вещества, а также для испарения навесок в форме гранул или
кусочков применяют испарители в виде конической проволочной спирали 6 (Рисунок 5.2.2, б), закрепляемой на
зажимах 4 токоподвода. Спираль окружена цилиндрическим тепловым экраном 5, а снизу размещается
ограничивающий экран 7.
Наиболее распространенными материалами для изготовления проволочных испарителей является проволока Ф
0,5 —1 мм из фольфрама и тантала.
Существенным достоинством проволочных испарителей является простота их конструкции и возможность
модификации под конкретные технологические условия. Кроме того, они хорошо компенсируют расширение и сжатие
при нагреве и охлаждении. Недостаток этих испарителей — малое количество испаряемого за один процесс материала.
Л е н т о ч н ы е испарители применяются для испа рения металлов, плохо удерживающихся на
проволочных испарителях, а также диэлектриков и изготавливаются с углублениями в виде полусфер, желобков,
коробочек или лодочек. Наиболее распространенными материалами для таких испарителей является фольга толщиной
0,1 — 0,3 мм из вольфрама, молибдена и тантала. Испаритель с углублением в виде полусферы, предназначенный для
испарения относительно малых количеств вещества, показан на рисунке 5.2.3, а. Для снижения теплового потока из
зоны испарения к зажимам токоподвода по краям полусферы имеются утонения сечения (шейки). Испарители
лодочного типа (Рисунок 5.2.3, б) предназначены для испарения относительно больших количеств вещества.
Чтобы скомпенсировать деформации испарителя, его профиль усложнен отгибом лапок, которыми он крепится к
зажимам токоподвода.
Рисунок 5.2.2. Проволочные испарители косвенного нагрева с цилиндрической (о) и конической (б) проволочной спиралью:
1 — отогнутый конец спирали, 2, 6 — цилиндрическая и коническая спирали, 3 — испаряемый материал (гусарик), 4 — зажимы
токоподвода, 5,7 — цилиндрический тепловой и ограничивающий экраны
Рисунок 5.2.3. Ленточные испарители косвенного нагрева из вольфрама,
молибдена и тантала толщиной 0,1 - 0,5 мм:
а - с углублением в виде полусферы, б - лодочного типа
Если для металлов благодаря их высокой теплопроводности испарение в вакууме есть явление поверхностное,
то для таких неметаллических веществ плохой теплопроводности, как диэлектрики (например, SiO2), существует
большая вероятность из разбрызгивания при форсированном испарении. В этих случаях применяют испарители
коробчатого типа усложненной конструкции (Рисунок 5.2.4), выполненные из ленты толщиной 0,1 мм в виде
коробочки /, в которую засыпают испаряемое вещество 5. Сверху коробочка закрывается однослойным или
двухслойным экраном 3 с отверстиями, через которые проходят пары 4 наносимого материала.
28
В случае применения двухслойного экрана отверстия располагают в шахматном порядке, что полностью
исключает прямой пролет крупных частиц испаряемого вещества. Помимо предохранения от разбрызгивания такие
испарители позволяют создавать над поверхностью испаряемого вещества ограниченное пространство, в котором пар 4
близок к насыщенному, что затрудняет обеднение соединения более легко испаряющимся компонентом.
Эффективную защиту от разбрызгивания капель, которым сопровождается процесс испарения некоторых веществ,
обеспечивают лабиринтные испарители. Как видно из названия, эти испарители имеют форму, исключающую прямой
путь для выхода крупных частиц вещества в момент взрывного испарения. В результате поступающее в лабиринтный
испаритель гранулированное вещество выходит из него только в виде пара в предпочтительном направлении в сторону
подложек.
Лабиринтный испаритель для оксида кремния (Рисунок 5.2.5) выполнен в виде коробочки 1, по краям которой
имеются лапки 2 для подсоединения к зажимам токоподвода. Сверху коробочка закрыта крышкой 5, имеющей
боковой и нижний 6 экраны для снижения тепловых потерь излучением. В верхней части крышки имеются два
патрубка. Через патрубок 3 в левую часть коробочки засыпают испаряемый материал 7, а затем этот патрубок закрывают
круглой крышкой 4. Через правый патрубок 10 поступают пары наносимого материала, которые предварительно в
коробочке проходят по лабиринту, образованному экранами 8 и 9, и из них отсеиваются макроскопические частицы.
Рисунок 5.2.4. Испаритель косвенного нагрева коробчатого типа:
1 — коробочка, 2 — поток паров наносимого вещества, 3 — экран, 4 — пары испаряемого вещества, 5 - испаряемое вещество
Рисунок 5.2.5. Испаритель косвенного нагрева лабиринтного типа:
1 - коробочка, 2 - лапки, 3, 4 — патрубок для загрузки материала и его крышка, 5 - крышка испарителя, 6 — нижний экран, 7 испаряемое вещество, 8, 9 — разделительные экраны, 10 — выходной патрубок
В производстве часто бывает необходимо наносить пленки, состоящие не из одного вещества, а являющиеся
сплавами. Это представляет наибольшую трудность в тонкопленочной технологии. Вследствие различной упругости
паров компонентов сплава состав пленки может заметно отличаться от исходного (эффект фракционирования сплава).
Так, при нанесении сплава нихрома (Ni 80 %, Сr 20 %) при t = 1400° С на подложке образуется пленка, имеющая
следующий состав: Ni- 60 %, Сr - 40 %.
Для получения состава пленок, соответствующего составу исходного сплава, применяют метод микродозирования
(дискретное или взрывное испарение). Сущность этого метода (Рисунок 5.2.6) состоит в том, что из дозатора 4 на
ленточный разогретый испаритель 5 дискретно сбрасываются небольшие порции порошка 1 испаряемого сплава с
размерами частиц 100— 200 мкм. Испарение микродоз происходит практически мгновенно и полностью, в
результате чего на подложке 3 последовательно осаждаются очень тонкие слои. В пределах каждого слоя наблюдается
неоднородный состав (вследствие фракционирования сплава), однако уже в процессе нанесения взаимной диффузией
атомов составляющих компонентов выравнивается концентрация каждого из них по толщине пленки.
Этот метод особенно эффективен при нанесении многокомпонентных сплавов (например, МЛТ-2М, нихром —
оксид кремния). Достоинством его является также отсутствие загрязнений пленки материалом испарителя (малое время
контакта микродозы сплава с испарителем).
Основной недостаток метода микродозирования — сложность наладки дозатора для подачи особо мелких порций
испаряемого сплава. В условиях большого теплоизлучения (от перегретого металлического испарителя) устойчивую
29
работу дозатора обеспечить трудно. Кроме того, имеется опасность не испарения, а разбрызгивания вещества в виде
капель или твердых частиц.
1
Рисунок 5.2.6. Метод дискретного испарения:
- испаряемый порошок, 2 - пары наносимого вещества, 3 — подложка, 4 — дозатор, 5 - ленточный испаритель
Т и г е л ь н ы е и с п а р и т е л и используют, как правило, для испарения больших количеств сыпучих
диэлектрических материалов. Тигли изготовляют из тугоплавких металлов, кварца, графита, а также керамических
материалов (нитрида бора ВN, оксида алюминия А12Оз - алунда). Максимально допустимая температура кварца
составляет 1400° С, графита - 3000° С, оксида алюминия - 1600° С.
Два типа испарителей с тиглями из керамики показаны на рисунке 5.2.7, а, б.
В испарителе первого типа (Рисунок 5.2.7, а) нагреватель в виде плоской улиткообразной спирали 1 располагается
в полости керамического тигля 2, куда насыпается испаряемый материал. Такой испаритель позволяет испарять с
высокими скоростями большое количество вещества.
В испарителе второго типа нагреватель в виде конусообразной спирали 1 расположен с внешней стороны
керамического тигля 2. ,
При равной мощности питания первый испаритель нагревается до более высокой температуры, чем второй.
Однако достоинством второго является отсутствие контакта испаряемого материала со спиральным нагревателем.
Эксплуатационным недостатком тигельных испарителей является то, что они довольно инерционны, так как малая
теплопроводность материала, из которого изготовляют тигель, не обеспечивает быстрого нагрева испаряемого
вещества.
Рисунок 5.2.7. Испарители прямого нагрева с тиглями с внутренним (а) и внешним (б) спиральными нагревателями:
1 - спираль, 2 - тигель
30
5.3. Лазерное, электронно-лучевое, «взрывное» испарение
Принцип электронно-лучевого нагрева состоит в том, что кинетическая энергия потока ускоренных электронов
при бомбардировке ими поверхности вещества превращается в тепловую энергию, в результате чего оно нагревается
до температуры испарения.
Для образования электронного луча необходим источник свободных, т. е. не связанных с другими частицами,
электронов. Для того чтобы электрон вылетел из металла наружу, его скорость должна быть направлена в сторону
поверхности металла и он должен преодолеть действие сил, стремящихся возвратить его обратно в металл.
Работу по преодолению электроном поверхностных сил, стремящихся удержать его в металле, называют работой
выхода. При комнатной температуре количество электронов в металле, энергия которых превышает работу выхода,
ничтожно мало. Однако их количество резко возрастает при росте температуры за счет увеличения интенсивности
теплового хаотического движения.
Испускание электронов металлами, нагретыми до высокой температуры, называют термоэлектронной
эмиссией (Рисунок 5.3.1, а), а выполненные из металла элементы, используемые для получения свободных электронов,
— термоэлектронными катодами, или просто катодами. Материалом катодов обычно служит вольфрамовая
проволока. Для накала катода, помещенного в вакуумную камеру, через него пропускают электрический ток.
Рисунок 5.3.1. Эффект термоэмиссии (а), ускорение электронов (б) и формирование электронного луча (в):
1 - эмиттированные электроны, 2 - термокатод, 3 - стенка вакуумной камеры, 4 - изоляторы, 5 — источник питания
термокатода, 7 - ускоренный электрон, 6,8 — аноды, Р - электронный луч
Спиральный термокатод 2 закрепляют на стенках 3 вакуумной камеры через изоляторы 4. При подаче тока
накала от источника 5 происходит нагрев термокатода с испусканием электронов 1. Эти электроны обладают разной
энергией и направление их движения от катода хаотично. Дня ускорения (повышения энергии) и направленного
движения электронов необходимо создать ускоряющее электрическое поле.
Рассматривая движение электронов в электрическом поле, предполагают, что они находятся в достаточно
разреженном пространстве. При этом взаимодействием между молекулами оставшегося в объеме газа и движущимися
электронами можно пренебречь.
Как известно из электротехники, на заряженную частицу — электрон, находящуюся в электрическом поле,
действует сила, пропорциональная напряженности этого поля, в результате чего частица ускоряется. Скорость
(км/с), которую приобретет электрон под действием разности потенциалов И между двумя точками поля, равна
При этом кинетическая энергия (эВ) электрона
где те - масса электрона.
В устройстве для ускорения электронов (Рисунок 5.3.1, б) в нескольких сантиметрах от катода размещают анод 6,
создающий электрическое поле Е, направление которого показано стрелкой. Между анодом 6 и катодом 2 образуется
разность потенциалов от 5 до 10 кВ. Электроны, эмиттируемые катодом 2, притягиваются анодом 6 и образуют
направленный поток ускоренных электронов 7.
Для формирования электронного луча 9 (Рисунок 5.3.1, в) используют анод 8 с отверстием, через которое
проходит значительная часть электронного потока.
Рассмотрим движение электрона в магнитном поле и силу, действующую на электрон, влетающий в магнитное
поле между полюсами постоянного магнита перпендикулярно силовым линиям этого поля (Рисунок 5.3.2).
31
Движущийся электрон можно представить как электрический ток, проходящий через проводник. Тогда по
известному из электротехники правилу левой руки можно определить направление силы, действующей на электрон.
Если расположить левую руку так, чтобы силовые линии магнитного поля упирались в ладонь, а вытянутые пальцы
были направлены в сторону, противоположную направлению скорости V электрона то отогнутый большой палец
покажет направление силы, действующей на летящий электрон. Эта сила будет пропорциональна напряженности
магнитного поля и скорости электрона.
Рисунок 5.3.2. Движение электронов в магнитном поле
Рисунок 5.3.3. Электронно-лучевой испаритель:
1 - полюсный наконечник, 2 -электромагнит, 3 - водоохлаждаемый тигель, 4 — испаряемый материал, 5 — поток наносимого
материала, 6 — термокатод, 7 — фокусирующая система, 8 - электронный луч, 9 - тонкая пленка, 10 - подложка
Таким образом, сила FЭ, действующая на электрон, перпендикулярна направлениям скорости его движения и
силовых линий магнитного поля. Поскольку сила FЭ действует всегда перпендикулярно скорости движения электрона,
она изменяет не скорость его, а только направление. Под действием этой силы траектория движения электрона
непрерывно изменяется, т. е. искривляется (как это показано на рисунке 5.3.2 штриховой линией). Следовательно,
если перпендикулярно электронному лучу приложить магнитное поле, он отклонится.
Фокусировка электронного луча позволяет получать большую концентрацию мощности на сравнительно малой
поверхности (5 х 10 Вт/см 2 ), а следовательно, испарять любые, даже самые тугоплавкие материалы с достаточно большой скоростью.
Электронно-лучевой испаритель (Рисунок 5.3.3) состоит из трех основных частей: электронной пушки,
отклоняющей системы и водоохлаждаемого тигля.
Э л е к т р о н н а я пушка предназначена для формирования потока электронов и состоит из вольфрамового
термокатода 6 и фокусирующей системы 7. Электроны, эмитируемые катодом, проходят фокусирующую систему,
ускоряются за счет разности потенциалов между катодом и анодом (до 10 кВ) и формируются в электронный луч 8.
О т к л о н я ю щ а я с и с т е м а предназначена для создания магнитного поля, перпендикулярного направлению
скорости движения электронов, выходящих из фокусирующей системы пушки, и состоит из полюсных наконечников 1
и электромагнита 2. Между полюсными наконечниками расположены водоохлаждаемый тигель 3 и электронная
пушка. Отклоняя электронный луч магнитным полем, его направляют в центральную часть водоохлаждаемого тигля
32
3. В месте падения луча создается локальная зона испарения вещества из жидкой фазы. Нагретый электронной
бомбардировкой материал 4 испаряется, и поток паров 5 осаждается в виде тонкой пленки 9 на подложке 10.
Изменяя ток в катушке электромагнита 2, можно сканировать лучом вдоль тигля, что предотвращает образование
"кратера" в испаряемом материале.
М е д н ы е в о д о о х л а ж д а е м ы е т и г л и е м к о с т ь ю 50 см3 и более обеспечивают длительную
непрерывную работу без добавки испаряемого материала, который, кроме того, не контактирует в расплавленном виде
с медными стенками тигля ("автотигельное испарение"), а значит, и исключается их взаимодействие.
Электронно-лучевые испарители могут быть одно-и многотигельной конструкции, с разворотом луча на 5.3.30 и
180°. При угле отклонения электронного луча до 270° исключается попадание испаряемого материала на катод и
загрязнение наносимых пленок материалом катода, который во время работы также испаряется.
Недостатки этих испарителей — сложность аппаратуры питания и управления, трудность испарения металлов
высокой теплопроводности (медь, алюминий, серебро, золото) из водоохлаждаемого тигля, необходимость частой
замены и юстировки катода, а также питание высоким напряжением, что требует соблюдения соответствующих правил
техники безопасности.
33
5.4. КАТОДНОЕ РАСПЫЛЕНИЕ
Ионное распыление, разрушение отрицательного электрода (катода) в газовом разряде под действием ударов
положительных ионов. В более широком смысле — разрушение твёрдого вещества при его бомбардировке заряженными
или нейтральными частицами.
К. р., с одной стороны, нежелательное явление, уменьшающее срок службы электровакуумных приборов; с др.
стороны, К. р. имеет практическое применение для очистки поверхностей, выявления структуры вещества (ионное
травление), нанесения тонких плёнок, для получения направленных молекулярных пучков и т.д. Бомбардирующие ионы,
проникая в глубь мишени, вызывают смещение её атомов. Эти смещенные атомы, в свою очередь, могут вызывать новые
смещения и т.д. Часть атомов при этом достигает поверхности вещества и выходит за её пределы. При определённых
условиях частицы могут покидать поверхность мишени в виде ионов (см. Ионная эмиссия). В монокристаллах наиболее
благоприятные условия для выхода частиц складываются в направлениях, где плотность упаковки атомов наибольшая. В
этих направлениях образуются цепочки соударений (фокусоны), с помощью которых энергия и импульс смещенных
частиц передаются с наименьшими потерями. Существенную роль при К. р. играет процесс каналирования ионов,
определяющий глубину их проникновения в мишень (см. Каналирование заряженных частиц).
К. р. наблюдается при энергии ионов E выше некоторой величины E0, называемым порогом К. р. Значения E0 для
различных элементов колеблются от единиц до нескольких десятков эв. Количественно К. р. характеризуется
коэффициентом распыления S, равным числу атомов, выбитых одним ионом. Вблизи порога S очень мало (10–5
атомов/ион), а при оптимальных условиях S достигает нескольких десятков. Величина S не зависит от давления газа при
малых давлениях р < 13,3 н/м2 (0,1 мм рт. ст.), но при р > 13,3 н/м2 (0,1 мм рт. см.) происходит уменьшение S за счёт
увеличения числа частиц, осаждающихся обратно на поверхность. На величину S влияют как свойства бомбардирующих
ионов — их энергия Ei (Рисунок 5.4.1 а), масса Mi (Рисунок 5.4.1 б), угол падения ее на мишень (Рисунок 5.4.1 в), так и
свойства распыляемого вещества — чистота поверхности, температура, кристаллическая структура, масса атомов
мишени.
Угловое распределение частиц, вылетающих с распыляемой поверхности, анизотропно. Оно зависит от энергии
ионов, а для монокристаллов также от типа кристаллической решётки и строения распыляемой грани. Осадок из
распыляемого вещества, образующийся на экране, имеет вид отдельных пятен, причём симметрия картины осадка та же,
что и симметрии распыляемой грани и образовавшихся на ней в результате К. р. фигур травления (Рисунок 5.4.1 г).
Энергии распылённых частиц колеблются от нескольких долей эв до величин порядка энергии первичных ионов.
Средние энергии распыляемых частиц составляют обычно десятки эв и зависят от свойств материала мишени и
характеристик ионного пучка
а)
б)
в)
34
г)
Рисунок 5.4.1 а-г
5.5 Высокочастотное распыление. Реактивное распыление
Для высокочастотного и реактивного ионного распыления используют как обычные диодные, так и
магнетронные системы.
В ы с о к о ч а с т о т н о е р а с п ы л е н и е начали применять, когда потребовалось наносить диэлектрические
пленки. В предыдущей главе предполагалось, что распыляемое вещество - металл. При этом ударяющийся о мишень
ион рабочего газа нейтрализуется на ней и возвращается в вакуумный объем рабочей камеры.
Если же распыляемый материал — диэлектрик, то положительные ионы не нейтрализуются и за короткий
промежуток времени после подачи отрицательного потенциала покрывают слоем мишень, создавая на ее поверхности
положительный заряд. Поле этого заряда компенсирует первоначальное поле мишени,
находящейся
под
отрицательным потенциалом, и дальнейшее распыление становится невозможным, так как ионы из разряди но
притягиваются к мишени.
Для того чтобы обеспечить распыление диэлектрической мишени, приходится нейтрализовать положительный
заряд на ее поверхности подачей высокочастотного (ВЧ) переменного потенциала. При этом в системе распыления,
которая представляет собой диодную систему (Рисунок 5.5.1, а, б) с катодом 2, окруженным экраном 1 (анодом может
служить вакуумная камера), происходя!' следующие процессы.
Так как в плазме положительного столба 4 содержатся равные количества иолов и электронов, при переменной
поляризации мишени по время отрицательного полупериода (Рисунок 5.5.1, а) она притягивает ионы 3. Ускоренные
ионы бомбардируют и распыляют диэлектрическую мишень, одновременно передавая ей свой заряд. При этом мишень
накапливает положительный заряд и интенсивность распыления начинает снижаться. Во время положительного
полупериода (Рисунок 5.5.1, б) мишень притягивает электроны 5, которые нейтрализуют заряд ионов, превращая их в
молекулы 6. В следующие отрицательный и положительный полупериоды процессы повторяются и т.д.
В промышленных установках ВЧ распыление ведется на единственной разрешенной частоте 13,56 МГц,
которая находится в диапазоне радиосвязи. Поэтому иногда ВЧ распыление называют радиочастотным.
Р е а к т и в н о е р а с п ы л е н и е применяют для нанесения пленок химических соединений (оксидов, нитридов) . Требуемое химическое соединение получают, подбирая материал распыляемой мишени и рабочий газ.
При этом методе в рабочую камеру в процессе распыления вводят дозированное количество так называемых
реактивных (химически активных) газов. Причем для нанесения пленок оксидов и нитридов в рабочий газ — аргон добавляют соответственно кислород и азот. Основными условиями при получении требуемых соединений
является
тщательная очистка реагентов и отсутствие натекания, а также газовыделения в камере.
35
Рисунок 5.5.1. Схемы высокочастотного распыления при отрицательном (а) и положительном (б) полупериодах напряжения:
7 - экран, 2 - катод, 3 - ионы, 4 — плазма, 5 - электроны, б — молекулы
Недостаток реактивного распыления — возможность осаждения соединений на катоде, что существенно
уменьшает скорость роста пленки.
При реактивном распылении реакции могут протекать как на мишени, так и в растущей пленке, что зависит от
соотношений реактивного газа и аргона. В отсутствие аргона реакции происходят на мишени. При этом разряд
протекает вяло, так как большинство атомов реактивного газа расходуется на образование на поверхности мишени
соединений, которые препятствуют распылению. Чтобы реактивные процессы проходили на подложке, количество
реактивного газа не должно превышать 10 %; остальное составляет аргон.
При реактивном распылении кремния напускаемый в рабочую камеру кислород взаимодействует с
конденсирующими на поверхности подложки атомами кремния, в результате чего образуется пленка SiO2.
При нанесении реактивным распылением диэлектрических пленок нитрида кремния Si3N4 происходит
аналогичный процесс. В рабочую камеру напускают тщательно осушенный и очищенный от кислорода аргон с
добавкой азота. Ионы этих газов, бомбардируя кремниевый катод, выбивают из него атомы кремния и на подложке
вследствие большой химической активности ионизированных атомов азота образуется пленка нитрида кремния Si3N4,
отличающаяся высокой химической стойкостью.
Так как условия реакции при нанесении диэлектрических пленок существенно зависят от постоянства в рабочем
газе процентного содержания напускаемого реактивного газа, необходимо строго следить за его подачей. Напуск газов в
рабочую камеру обычно производят двумя способами:
вводят оба газа (аргон и реактивный) из магистралей или баллонов, контролируя расход реактивного газа
микрорасходомером и поддерживая постоянное давление;
вводят заранее подготовленную определенного состава рабочую смесь газов из резервуара.
5.6 ПОЛУЧЕНИЕ ПЛЕНОК ИЗ ГАЗОВОЙ ФАЗЫ
Эпитаксия — это процесс наращивания слоев полупроводникового материала с упорядоченной кристаллической структурой на
ориентированной пластине.
Выращиваемые слои могут повторять структуру пластины, например при выращивании кремния на кремнии,
германия на германии. Они могут отличаться по структуре, например при выращивании кремния на сапфире, кремния на
шпинели, кремния на оксиде бериллия.
Термин " эпитаксия " образован из двух греческих слов "эпи" - на, "таксис" — располагать в порядке. Впервые
выращивание кристаллов из паровой фазы было предложено в 1957 г. Практическое использование этого
метода началось с 1960 г.
Эпитаксию можно подразделить на три вида: авто-, гетеро- и хемоэпитаксию.
Ав т о э п и т а к с и я - процесс ориентированного наращивания вещества, не отличающегося или незначительно
отличающегося по химическому составу от вещества пластины. Она обеспечивает возможность формирования
гомогенных (однородных) p-n-переходов и иногда называется гомоэгштаксией.
Г е т е р о э п и т а к с и я — процесс ориентированного наращивания вещества, отличающегося по химическому
составу от вещества пластины. На границе "эпитаксиальный слой — пластина" образуется гетерогенный
(неоднородный) p-n-переход. Гетероэпитаксия осуществима для элементов, не склонных к химическому
взаимодействию.
Х е м о э п и т а к с и я - процесс ориентированного наращивания, при котором образование слоя происходит за
счет химического взаимодействия вещества пластины с наносимым веществом. Полученный слой по химическому
составу отличается как от вещества пластины, так и от наносимой фазы, но закономерно продолжает кристаллическую
структуру пластины. При образовании таких слоев может быть сформирован гетеропереход или невыпрямляющий
контакт.
36
Маркируют кремниевые эпитаксиальные структуры буквами: К - кремний; Д - дырочный; Э - электронный тип
электропроводности; Б, Ф, С, М - легирующие элементы, соответственно бор, фосфор, сурьма, мышьяк.
Однослойные эпитаксиальные структуры, изготовленные на кремниевых пластинах n-типа с эпитаксиальным слоем р- типа,
маркируют дробью с цифровым коэффициентом. Например:
(5.6.1)
где 76 — диаметр пластины, мм; 8 - толщина эпитаксиального слоя, мкм; 380 - толщина пластины, мкм; 0,5 и 0,01 - удельное
сопротивление эпитаксиального слоя и пластины соответственно, Ом • см.
Маркировка многослойных эпитаксиальных структур, изготовленных в процессе автоэпитаксиального наращивания слоев
разных типов электропроводности аналогична маркировке однослойных структур, но содержит несколько уровней.
Эпитаксиальные структуры со скрытым слоем (ЭСС) (Рисунок 5.6.1),
Рисунок 5.6.1. Схема эпитаксиальной структуры со скрытым слоем:
1 - эпитаксиальная структура; 2 - скрытый слой; 3 – пластина
изготовленные, как правило, на кремниевых пластинах р-типа с локальными участками п -типа, которые формируют на них
диффузией или ионным легированием мышьяком или сурьмой, маркируют так:
(5.6.2)
где 76, 380 - диаметр и толщина пластины соответственно в мм и мкм; КЭФ, КЭМ , КДБ - тип электропроводности
эпитаксиального, скрытого слоя и пластины соответственно; 4, 5 - толщины эпитаксиального и скрытого слоя, мкм.
Параметры эпитаксиальных и тонких эпитаксиальных структур со скрытым слоем Таблица 5.6.1
Тип структур
Толстые
При-
Легиру- Толщина, Удельное сопро
месь и
ющая мкм
тивление, Ом • см
примесь
-
8
(слабо
легированные)
So
P
10-20 3
Средней толщины Sb
P
6 - 15
As
P
6-13
0,1-2
As, Sb
P, As
2,5 - 6
0,1 -1,5
Sb
P
0,5-2,5
0,1-1,0
В, Р
1,0 - 2,5
0,1 - 1,0
0,5 - 5 (умеренно
легированные)
Уменьшенной
толщины
Тонкие
Сверхтонкие
Специальные "Изопланар"
Sb
5.7 Хлоридные и силановый методы получения эпитаксиальных слоев
Гидридную эпитаксию проводят чаще всего при температуре 1000° С реакцией пиролиза силана, которая идет
почти с такой же скоростью, как и реакция восстановления SiCl4 при температуре 1200° С:
SiH4 => Si  + 2Н2 
cкорость растущей пленки зависит от давления в реакторе. В интервале температур 1100 - 1225° С скорость роста
пленки практически не зависит от температуры.
При температурах выше 1225° С начинается газофазное разложение силана, что приводит к уменьшению скорости
осаждения Si. Скорость осаждения пленки зависит также от концентрации силана в газовом потоке. При концентрациях
силана больших, чем 0,2 маc. %, и температурах выше 1100° С скорость осаждения уменьшается из-за газофазного
разложения SiH4. Газофазное разложение силана помимо уменьшения количества Si, осаждающегося на пластину,
приводит к попаданию твердых частиц продуктов газофазного пиролиза SiH4 в растущий слой.
37
Силановый процесс, который проводится при относительно низкой температуре, позволяет легко регулировать
концентрацию SiH4 в газовом потоке, выбирать диапазон скоростей, слабо зависящий от температуры, что облегчает
поддержание рабочей температуры с точностью ± 10° С.
К недостаткам гидридной эпитаксии следует отнести пирофорность силана (возможность самовозгорания на
воздухе и взрыва). Это приводит к необходимости разбавлять силан аргоном или водородом до безопасных
концентраций в баллоне (2,5 — 4 %). Кроме того, если произошло газофазное разложение SiH4, то реактор, не имеющий
водяного охлаждения, "зарастает" и выращенные пленки имеют большую плотность дефектов. При гидридном
процессе требуется тщательно герметизировать все элементы газовых магистралей и узлы реакционной камеры, так как
при взаимодействии SiH4 с воздухом образуется соединение, которое может забить магистрали. По сравнению с хлоридом силан — дорогостоящий продукт.
П и р о л и з д и х л о р с и л а н а . Гидридную эпитаксию можно осуществить реакцией пиролиза
дихлорсилана SiH2Cl2. Реакция начинается при температуре 600° С. Оптимальные температуры для получения пленок
1080 - 1100° С. Ухудшение качества слоев происходит при температуре ниже 1050° С.
Химические реакции с участием SiH2Cl2 занимают промежуточное положение между реакциями с участием SiCl4 и
SiH4. Осаждение Si из SiH2 Cl2 может происходить в среде аргона или азота по реакции
SiH 2 Cl 2 => Si  +2HCl 
причем водород не оказывает восстанавливающего действия. Кроме основной реакции возможны побочные:
SiHCl3 + Ar => Si + ЗНСl
SiCl2 + Ar => Si + 2HCl
SiCl4 + Ar => Si + 4HCl
Разложение SiH2Cl2 при избытках Н2 уменьшает вероятность протекания побочных реакций.
Как и при предыдущем процессе, на скорость роста эпитаксиальной пленки сильно влияет концентрация
SiH 2 Cl 2 в Н 2 и температура процесса. В диапазоне 1100 — 1200° С, однако, это влияние незначительно. Энергия
активации процесса при пиролизе дихлорсилана невелика, что свидетельствует о том, что скорость осаждения
определяется только массопереносом реагентов в газовой фазе.
При пиролизе SiH2Cl2, протекающем при относительно низких рабочих температурах (1080 — 1100° С),
практически отсутствует газофазное разложение, возможен подбор условий осаждения, не зависящих от температуры. К
недостаткам относятся повышенное требование к герметичности газовых магистралей и реакционной камере и в
несколько раз большая стоимость SiH2 Cl2 по сравнению с SiCl4.
Основные технологические параметры процессов эпитаксиального осаждения пленок приведены в таблице 5.7.1.
Выбор того или
иного
кремнийсодержащего
соединения для
эпитаксии Si зависит от возможностей
оборудования и температурные ограничений конкретного технологического маршрута.
Хлоридный
м е т о д заключается в восстановлении водородом кремния из S1CI4 • Реакция
восстановления является гетерогенной
SiCl4 + 2Н2 => Si  + 4HCl 
При относительно низких температурах скорость осаждения пленок описывается уравнением
Vp =Aexp[-  E/(RT)]
Где  E – энергия активации процесса; A и R - постоянные, Т – температура процесса.
зом. Пластины после предварительной аммиачно-перекисной отмывки устанавливают на подложкодержатель.
Проверяют герметичность установки по скорости уменьшения избыточного давления газа азота. Герметичность
установки и реактора считаются достаточными, если избыточное давление 0,2 • 10 5 Па за 30 мин не уменьшается.
После этого реакционную камеру продувают азотом в течение 5 мин (с расходом газа 1,5 м 3 /ч). Далее реактор
продувают рабочим газом-носителем водородом в течение 5 мин и нагревают подложкодержатель с пластинами до
рабочей температуры (1200 - 1250° С).
Рабочую температуру контролируют оптическим пирометром через специальное смотровое окно в реакторе.
После отжига пластин при рабочей температуре в течение 5 - 7 мин производится их газовое травление. Для этого к
основному газу-носителю добавляют газообразный хлористый водород (расход хлористого водорода определяют по
ротаметру или более точно с использованием регулятора расхода газа). Газовое трав ление проводится 1 - 2 мин
со скоростью травления 0,1 -0,2 мкм/мин. После травления газовую магистраль с хлористым водородом
закрывают. Во время газового травления испаритель с SiCl4 продувают водородом на байпасную линию для установления
требуемого рабочего расхода. Водород, проходя через испаритель, насыщается его парами. Смесь SiCl4 + H2 после
окончания газового травления добавляется к основному потоку водорода путем открывания соответствующих газовых
кранов. После окончания выращивания эпитаксиальной структуры линию подачи водорода в испаритель закрывают.
Увеличение концентрации SiCl4 выше некоторого критического значения приводит к образованию газообразного
НС1, который травит поверхность пластины и осаждаемого слоя.
Увеличение скорости роста с увеличением температуры связано с более интенсивным протеканием реакции
восстановления. Повышение скорости газового потока при фиксированной температуре также приводит к увеличению
скорости роста, что связано с интенсификацией доставки и отвода продуктов реакции.
Удельное сопротивление эпитаксиальной структуры зависит от типа и количества примесей, введенных в газовую
смесь в процессе осаждения. В качестве источников легирующей примеси п- и р-типов используют фосфин (РН3) и
диборан (В2Н6) соответственно. Зависимость концентрации примеси в растущей пленке от концентрации примеси в
38
газовой фазе очень сложная.
Хлоридным методом получают эпитаксиальные структуры с низкой дефектностью, т.е. малой плотностью
дефектов упаковки и дислокации [порядка (2…5) 102 см-2], что вязано с гетерогенным характером протекания реакции.
Условия реакции можно подобрать таким образом, чтобы не происходило взаимодействия между реагентами и стенками
реактора, что обеспечивает чистоту процесса. Кроме того, SiCl4 легко поддается очистке, нетоксичен и недорог, что
позволяет предъявлять пониженные требования к герметичности газовых магистралей.
Недостатки хлоридного процесса — сравнительно высокий диапазон ра6очих тёмператур (1200 - 1250° С) и, как
следствие" невозможность получения резких p-n-переходов из-за "диффузионного размытия" границы пленка —
пластина во время процесса эпитаксиального осаждения.
Если необходимо получать пленки с резким изменением концентрации примесей на границе пластина эпитаксиальный слой, используют реакцию пиролиза силана.
Основные характеристики технологических процессов при эпитаксиальном осаждении Si
Источник кремния
скорости
роста,
мкм/мин
Диапазон
ратуры, С
Диапазон темпеДопустимый уровень концентрации
окислителя х 10-4, %
SiCL, + Н2
0,4 - 1,5
1150 - 1250
5-10
SiHCl3 + Н2
0,4 - 2,0
1100 - 1200
5-10
SiH2Cl2 + H2
0,4 -3,0
1050-1150
<5
SiH4 + H2
0,2 -0,3
950-1050
<2
SiH4 + Н2 + Не
0,1
Таблица 5.7.1
900
<2
Эпитаксию из парогазовых смесей обычно проводят при атмосферном давлении (10 s Па). Понижение давления до
(6,5 …13) х 103 Па позволяет уменьшить эффекты бокового и вертикального автолегирования.
5.8 Легирование при эпитаксии
Кремний при автоэпитаксиальном росте легируют элементами III и V групп периодической таблицы. Наиболее
часто для легирования используют соединения, содержащие фосфор, мышьяк и бор. В зависимости от
концентрации примеси, ее типа и способа легирования получают кремниевые слои, обладающие разным
сопротивлением .
Зависимость сопротивления ЭС от концентрации источников примеси
Легирующая примесь в
источнике кремния
N, см
Донорные:
РС15 в S1CI4
1014
10l5
р, Ом • см
1016
1017
AsCl3,BSiCl4
или
РН3 в Н2
Акцепторная
В 2 Н 6 вН 2
Таблица 5.8.1
1018
1019
1020
1015
1016
1017
1018
1019
1020
50
5
0,6
0,08
0,025
0,006
0,0008
13
1,5
0,25
0,06
0,01
0,001
Ж и д к о с т н о е л е г и р о в а н и е . При непосредственном смешивании SiCL) с жидкими источниками примесей
гало-генидов (РС13, ВВr3, AsCl3) давления паров SiCl4 и источника должны быть близкими.
Обычно требуется небольшая концентрация примеси, поэтому при использовании жидких источников их
многократно разбавляют, в результате чего получают растворы с относительным содержанием примеси от 10~9 до 10~2.
39
Это обеспечивает уровень легирования ЭС от 1014 до 1019 ат/см3.
Для получения воспроизводимых результатов при легировании бором необходимо применять борсодержащие
соединения с высокой температурой кипения. При легировании легирующее соединение помещают в отдельный
испаритель, а количество бора, поступающего в реактор, регулируют потоком транспортирующего газа Н2, проходящего
через испаритель.
В зависимости от температуры осаждения при легировании в системе SiCl4 - РСl5 и SiCl4 - SbCl5 сопротивление
получаемых слоев оказывается различным. При легировании сурьмой эта зависимость более ярко выражена.
Концентрация фосфора и сурьмы в выращиваемых слоях обычно пропорциональна их концентрации в смеси и не
зависит от скорости роста пленки.
Жидкостные методы легирования применяют при выращивании однослойных структур. При выращивании
многослойных структур с разным типом электропроводности или с переменной концентрацией примеси по толщине они
непригодны. Это связано с тем, что галогениды хорошо адсорбируются стенками газопроводов и реакторов, загрязняя
установку и вызывая необходимость промывки газовой системы и реакторов перед выращиванием последующего слоя.
Г а з о в о е л е г и р о в а н и е . При легировании с использованием газообразных примесных соединений гидридов
фосфина (РН 3), арсина (AsH3), диборана (В 2Н6) их взаимодействие с поверхностью газопроводов и реактора
существенно уменьшается.
Уровень легирования зависит от температуры, уменьшаясь с ее ростом, от концентрации легирующих
примесей в газе и от его парциального давления. ,Так, при 1200° С уровень легирования кремниевой пленки фосфором
из РН3 вплоть до концентраций 1018 см"3 линейно возрастает с повышением концентрации РН3. При одном и том же
значении парциального давления легирующего газа более высокую концентрацию примеси в растущем слое получают
при легировании его бором (из диборана) в сравнении с As (из арсина). Это связано с тем, что арсин частично
конденсируется на внутренних стенках реакционной камеры из-за высокого парциального давления а бор,
существующий в виде газообразного соединения низшей валентности ВН3, при высоких температурах на них не конденсируется.
Основным фактором, влияющим на уровень легирования слоев кремния гидридами, является концентрация
парогазовой смеси и температура процесса. Поэтому для дозировки легирующих гидридов применяют расходомеры с
точностью отсчета не менее 1 % и воспроизводимостью отсчета, равно 0,2 % максимального расхода.
Для регулирования в широком диапазоне концентрации легирующего гидрида используют системы двойного
разбавления водородом и контролируют сброс основной доли разбавляемого газового потока расходомером.
Г а з о р а з р я д н о е л е г и р о в а н и е . Газоразрядный способ легирования наиболее безопасен для
обслуживающего персонала, поэтому при проведении процесса рабочий может находиться рядом с реакционной
камерой. Легирующую газовую смесь приготовляют в специальной газоразрядной камере, через которую пропускают
водород. В камере располагают электроды, материал которых содержит элементы III и V групп периодической системы.
Материалы электродов должны быть проводящими, высокооднородными, иметь высокую тепло ту испарения.
Для получения эпитаксиальных слоев дырочного типа электропроводности чаще всего используют электроды из
бо-рида лантана LaB6. электронного типа — из сурьмы, сплава кремния с 0,1 мае. % фосфора или сплава сурьмы с 1 мае.
% мышьяка. При подаче на электроды высокого постоянно го или импульсного напряжения между ними возникает
газовый разряд, в результате которого образуются летучие соединения элементов материала электрода. Этот способ
позволяет легировать кремниевую пластину в диапазоне контролируемых концентраций: мышьяком 5 • 10 15 - 1 • 10 18
см" 3 и бором 3 • 10 1 5 - 5 • 10 1 7 см'3.
Для получения контролируемого легирования необходимо управлять скоростью протекания газа через
разрядную камеру, расстоянием между электродами, мощностью, подводимой к электродам, иметь нужный
материал электродов.
Самым гибким способом управления степенью легирования в режиме тлеющего разряда является изменение
разрядного тока. На рисунке 5.8.1 показана зависимость уровня легирования бором от тока газового разряда для
электродов из LaB6, откуда следует, что при изменении разрядного тока до 500 мкА уровень легирования достигает
предельной концентрации.
Рисунок 5.8.1. Зависимость уровня NB легирования бором от тока газового разряда Ip пленки толщиной L = 2 мм
Режимы легирования газоразрядным методом Таблица 5.8.2
Частота повтореПараметры ЭС
ния импульсов, ----------------------------------------------------------------Гц
Расстояние
Толщина плен- Удельное объеммежду электроки, мкм
ное сопротивле-
40
дами, мм
ние, Ом • см
210
6
7
5
15,5
20
20
18,5
0,074
0,04
0,09
0,107
100
7
10
5
18,3
16,3
14,1
0,1
0,106
0,138
19
19
18,2
16,3
3,0
3,0
2,5
1,0
10
50
6
7
10
5
Процессы, происходящие при этом в разрядной камере, следующие. При подаче высокого напряжения в камере
возникают нестабильные легирующие соединения. Время их существования увеличивают за счет повышения скорости
потока водорода через камеру. Присутствие в камере водорода приводит также к росту количества легирующих атомов в
объеме камеры. При очень больших потоках водорода рост концентрации легирующих атомов замедляется, так как
скорость образования легирующих соединений в зоне разряда ограничена. Повысить ее можно лишь путем
увеличения мощности разрядного генератора, прикладываемой к электродам.
С ростом частоты импульсов уровень легирования также повышается за счет увеличения энергии, выделяемой в
зоне разряда в единицу времени.
При легировании бором образующиеся в результате разряда атомы являются его гидридами. При легировании
мышьяком просто распыляются электроды.
Газоразрядное легирование предпочтительно использовать для получения малых концентраций легирующей
примеси и при создании многослойных структур.
Следует отметить, что процесс роста пленки и ее легирования тесно взаимосвязаны. Так, при повышении
скорости роста пленки от 0,1 до 1,0 мкм/мин концентрация легирующей примеси, например As, в эпитаксиальном
слое уменьшается на порядок.
А в т о л е г и р о в а н и е . Кроме контролируемых примесей в эпитаксиальный слой попадают и
неконтролируемые примеси из пластины. Этот процесс называется автолегированием. Механизм автолегирования
представлен на рисунке 5.8.2. Неконтролируемые примеси внедряются в растущий эпитаксиальный слой 1 за счет
твердотельной диффузии 6 через границу 2 ЭС - пластина 3, а также за счет испарения примеси с нерабочих
поверхностей пластины и переноса через газовую фазу 7, 8. С лицевой стороны пластины автолегирование
проявляется как увеличение ширины переходной области d — d1 между слоем и пластиной (Рисунок 5.8.3).
При эпитаксии кремния скорость роста пленки существенно превосходит скорость диффузии атомов примеси,
поэтому
1
Рисунок 5.8.2. Схема автолегирования:
- эпитаксиальный слой;
2 - металлургическая граница ЭС - пластина; 3 - пластина; 4 - подложкодержатель; 5 - скрытый слой;
6 -твердотельная диффузия; 7, 8 -перенос примеси через газовую фазу с нерабочей и боковой (торцевой) стороны пластины
41
Рисунок 5.8.3. Распределение концентрации примеси N на границе перехода пластина - эпитаксиальная структура по глубине х
пластины:
0 - d - начало равномерного участка примесного профиля в ЭС; d1 -  - начало равномерного участка примесного профиля в
пластине; d — d l — переходный спой; 0 – d1 — полная толщина ЭС
профиль легирования пленки в основном определяется переносом примеси через газовую фазу. Примесь
переходит в газовую фазу на этапе предварительного прогрева. На поверхности пластины образуется адсорбированный
слой примесных атомов, которые и захватываются растущей пленкой. Примесные атомы адсорбируются и
подложкодержателем.
При автолегировании диффузия по поверхности пластины не играет существенной роли. Установлено, что разница
между максимальными концентрациями примеси при автолегировании мышьяком составляет 2 — 3 порядка.
Для уменьшения автолегирования нерабочую поверхность пластины маскируют SiO2 или Si3N4. В последнее время
для уменьшения автолегирования используют пониженное давление. Разницу в скорости испарения при использовании
для эпитаксии кремния проточной системы и вакуума можно объяснить наличием пограничного слоя у поверхности
пластины, влияющего на условия испарения. Поток примеси в газовую фазу с нерабочих поверхностей пропорционален
концентрации в поверхностном слое этих поверхностей. Часть потока осаждается на растущей пленке. В вакууме эта
часть потока сводится к минимуму.
Автолегирование уменьшается и при снижении скорости роста пленки (как над скрытым слоем, так и вне
его). Оно зависит от площади скрытого слоя и с ее уменьшением уменьшается пропорционально
SCC (где Scc -
площадь скрытого слоя). Уменьшение автолегирования наблюдается при повышении температуры эпитаксии вплоть
до 1050 — 1100° С. В хлоридном процессе (по сравнению с силановым) оно меньше, что объясняется наличием
дополнительного подтравливания поверхности хлористым водородом, в результате чего уменьшается количеством
атомов примеси, захватываемых растущей пленкой.
5.9. Термическое окисление Si
При термическом окислении пленка SiO2 образуется за счет химического взаимодействия частиц окислителя с
атомами кремния. Ее рост происходит в приповерхностном слое кремниевой пластины (Рисунок 5.9.1), вследствие
чего ~40 % толщины оксидной пленки (di) образуется за счет материала кремниевой пластины. Из-за большого сродства
к кислороду поверхность кремния уже при комнатной температуре бывает покрыта пленкой оксида толщиной ~50 -г
100 А. Для увеличения ее толщины до требуемой необходима термическая стимуляция окисления.
Процесс термического окисления происходит в несколько стадий (Рисунок 5.9.2): адсорбции 1 - осаждения
частиц окислителя (О 2, Н 2О) из парогазовой смеси (ПГС) на внешней поверхности оксида; диффузии 2 частиц
окислителя через оксид к границе раздела Si - SiO2; химического взаимодействия 3 окислителя с кремнием и
образования новых слоев SiO2; диффузии 4 газообразных продуктов реакции сквозь оксид к его внешней
поверхности; десорбции их и удаления 5 газовым потоком.
Термическое окисление бывает высокотемпературным (1000 - 1200 С) в атмосфере сухого кислорода или
паров воды при атмосферном давлении и в парах воды при повышенном давлении (~2 МПа) и температуре 500 - 800°
С.
Химические реакции, описывающие процесс термического окисления кремния в кислороде и парах воды, имеют
соответственно следующий вид:
Si + О2 => SiO2
Si + 2H2O => SiO2 +2H2
Таким образом, рост оксида происходит на границе раздела Si - SiO2, которая в процессе окисления движется в
глубь кремниевой пластины. Внешняя поверхность оксида движется вверх за счет больших размеров молекул SiO2.
Скорость окисления определяется скоростью самой медленной стадии. После достижения некоторой
критической толщины SiO2 такой стадией является диффузия окислителя сквозь
42
Рисунок 5.9.1. Вид кремниевой пластины исходной толщины h с пленкой SiO2 толщиной d
Рисунок 5.9.2. Кинетика процесса термического окисления
растущую пленку к границе раздела Si - SiO2. Коэффициенты диффузии частиц О 2 и Н2О сильно зависят от
температуры и для кислорода существенно ниже, чем для водяного пара. Поэтому скорость роста оксида во
влажном кислороде выше, чем в сухом (Таблица 5.9.1).
Процесс окисления описывается линейно-параболическим законом
d 2 + K x d = K 2 t,
где d - толщина оксида; t — время окисления; К^ и К2 -соответственно линейная и параболическая константы
скорости окисления. Линейная составляющая скорости роста связана с химической реакцией на границе раздела, а
параболическая — с диффузионной стадией.
При температуре выше 1000° С процесс окисления подчиняется параболическому закону
d 2 = K2t ,
а ниже 1000° С - линейному d = Krt .
Значение параболической константы зависит от вида и концентрации частиц окислителя, а также от давления
ПГС в реакторе.
Скорость роста оксидной пленки зависит от кристаллографической ориентации окисляемой поверхности. Так,
для кремниевых пластин (Рисунок 5.9.3) с ориентацией (111) скорость окисления несколько выше (темные точки), чем
с ориентацией (100) (светлые точки) особенно при низких температурах.
При высоких скоростях роста во влажном кислороде и парах воды качество пленок SiO2 ниже (более высокая
пористость, хуже свойства границы раздела Si — SiO2), поэтому при их получении часто используют комбинированное
окисление. При этом вначале в сухом кислороде выращивают тонкий (~0,1 мкм) слой оксида, затем во влажном
кислороде доращивают его до толщины 0,2 … 0,8 мкм и завершают процесс вновь окислением в сухом кислороде.
43
Рисунок 5.9.3. Зависимость толщины слоя оксида от времени окисления во влажном кислороде для разных ориентации плоскости
окисляемой поверхности
Скорости роста слоев SiO2 в различных окислителях (полученные экспериментально) Таблица 5.9.1
Окислитель
окисления,
°С
0,5 мкм
Температура
Время получения t, слоя
толщиной
1 мкм
Сухой кислород
1200
Влажный кислород
1200
Пары воды
1200
1000
1000
1000
30
5,3
1,2
0,4
0,8
0,3
22
4,3
1,4
3,3
1
5.10. Пиролитическое получение пленок из газовой фазы при нормальном и пониженном
давлении
Пиролитическое осаждение используют для получения толстых слоев оксида кремния при низких температурах,
когда термическое окисление неприемлемо из-за существенного изменения параметров предшествующих диффузионных
слоев. Пиролитическое осаждение обеспечивает большую производительность, высокую равномерность слоев,
качественное покрытие уступов металлизации и позволяет создавать изолирующие и пассивирующие слои не только
на поверхности кремния, но и германия, арсенида галлия, а также других материалов. Помимо оксида кремния
осаждают слои SiC, Si3N4, ФСС и поликремния.
При пиролитическом осаждении оксида кремния происходит термическое разложение сложных соединений
кремния (алкоксисиланов) с выделением SiO2, например: тетраэтоксисилан
Si(OC 2 H 5 ) 4
650-700° С
SiO 2  + 2H 2 O + 4C 2 H 4
тетраметоксисилана
Si(OCH3)4 800-850°С SiO2 +2С2Н4+2Н2О или оксиление моносилана
SiH 4 +2O 2 400-450° С Si0 2  + 2H 2 0
Последнюю реакцию обычно используют и при осаждении фосфорно-силикатного стекла с добавлением к газовой
смеси фосфина РН3, разбавленного азотом до 1,5 %-ной концентрации. Фосфин вступает в реакцию с кислородом
4РН3 +5О2 => 2Р 2О5 +6H2 
образуя оксид фосфора, который легирует SiO2 . В пленке оксида кремния оказывается 1 - 3 % фосфора, за счет
чего повышается ее термомеханическая прочность, пластичность и снижается пористость. При содержании фосфора
до 8-9 % слои ФСС используют для планаризации поверхности пластин, имеющей рельеф.
44
5.11. ПЛАЗМОХИМИЧЕСКОЕ ОСАЖДЕНИЕ
При плазмохимическом осаждении (ПХО) процесс разложения кремнийсодержащих соединений активизируется
высокочастотным (ВЧ) разрядом, образующим в газовой среде при пониженном давлении низкотемпературную
кислородную плазму. Плазма состоит из атомов, радикалов, молекул в разных степенях возбуждения, а также
электронов и ионов. Плазмохимическое осаждение обычно проводят при давлении в реакционной камере 66 - 660 Па
и частоте ВЧ-разряда 13,56-40 МГц. Температура процесса более низкая, чем при пиролитическом осаждении,
благодаря чему получаемый оксид кремния можно использовать для пассивации поверхности ИМС, так как не
происходит взаимодействия кремния с металлом проводников.
Механизм образования пленок при ПХО состоит из трех основных стадий: образования в зоне разряда
радикалов и ионов, адсорбции их на поверхности пленки SiO2 и перегруппировки адсорбированных атомов.
Перегруппировка (миграция) адсорбированных поверхностью атомов и стабилизация их положения представляют
важную стадию роста пленки.
Одновременно с образованием пленки происходит десорбция продуктов реакции с поверхности. Скорости
десорбции и миграции атомов сильно зависят от температуры пластины, причем при большей температуре получаются
пленки с меньшей концентрацией захваченных продуктов реакции, большей плотностью и более однородным составом.
При стимулировании процесса осаждения плазмой появляются новые параметры, влияющие на скорость
осаждения пленки, ее состав, плотность, показатель преломления, равномерность, внутренние напряжения и скорость
травления. Кроме температуры, состава газовой смеси, ее расхода, давления, геометрии реактора на скорость
окисления влияют ВЧ-мощность, напряжение и частота, геометрия электродов и расстояние между ними.
В качестве рабочих газов обычно используют соединения кремния и окислители:
Si2О(СН3)6 + 8О2
230-250 C
2Si02  + Н2О + 6СО2 + 8Н2
а также гексаметилдисилоксан
SiH4 + 4N2 О
200-350°C
SiO2  + 4N2 + 2H2 О Скорость осаждения SiO2 при этом методе от 0,1 до 10 мкм/ч.
45
6
Формирование легированных слоев в технологии ИЭОТ.
Диффузионное легирование полупроводников. Механизмы диффузии. Распределение примесей
при диффузии и неограниченного и ограниченного источников. Факторы, влияющие на процессе
диффузии. Классификация методов диффузии. Многостадийная диффузия. Локальная диффузия. Виды
диффузантов. Контроль параметров диффузионных слоев, и процесса диффузии. Физико-химические
основы ионного легирования (ИЛ)Преимущества ИЛ перед диффузией. Аппаратное оформление
процесса ИЛ и принципы построения технологических систем для имплантации.
Послеимплантационный обжиг в инертной и окислительной среде.
6.1. Распределение примесей при диффузии и неограниченного и ограниченного источников.
При формировании ИМС встречаются два случая диффузии: из бесконечного и ограниченного источников. Под
диффузией из б е с к о н е ч н о г о (постоянного) источника понимают такое состояние системы, когда количество
примеси, уходящее из приповерхностного слоя полупроводникового материала, восполняется равным количеством,
поступающим извне. При этом поверхностная концентрация примеси остается постоянной, но резко убывает по глубине
р-и-перехода (Рисунок 6.1.1).
При использовании о г р а н и ч е н н о г о источника в приповерхностном слое имеется конечное
количество атомов примеси, уходящие атомы не восполняются и поверхностная концентрация примеси со временем
уменьшается (Рисунок 6.1.2).
Показанное на рисунке распределение N(x) соответствует теоретически рассчитанному. Реальное распределение
несколько сложнее за счет влияния диффузии, протекающей в других направлениях, отличных от нормали к
поверхности пластины, и наличия ранее введенных в материал примесей.
Рисунок 6.1.1. Распределение примеси N(x) при диффузии из бесконечного (постоянного) источника по толщине пластины х:
No - поверхностная концентрация
46
Рисунок 6.1.2. Распределение примеси N(xj при диффузии из ограниченного источника по толщине пластины х:
N01, N02, N03 - поверхностные концентрации в момент времени t1, t2, t3 соответственно; No -исходная поверхностная концентрация
6.2 Локальная диффузия
Рисунок 6.2.1. Схема локальной диффузии:
1 - маскирующий оксид; 2 — диффузионная область; 3 — пластина; l 1 - размер окна в оксиде; l 2 — размер полученной
диффузионной области;  l - уширение диффузионной области за счет искривления фронта диффузии; xj — глубина p-n-перехода
При локальной диффузии следует учитывать искривление ее фронта у края окна в маскирующем оксиде
(Рисунок 6.2.1), коте рое увеличивает размеры диффузионной области на Д/ и влияе на форму p-n-перехода. В
структурах с малыми размерам] окон глубина p-n-переходов может быть завышена и неоднородна по пластине.
Значения  l могут достигать 0,8 хj
При создании активных и изолирующих областей ИМ< часто используют двухстадийную диффузию
(Рисунок 6.2.2). Дл; этого вначале в поверхность полупроводникового материал 2 с нанесенным на нее
маскирующим слоем оксида 1 вводя определенное количество легирующей примеси из бесконечной источника, создавая
ее высокую поверхностную концентрацш при небольшой глубине диффузионной области ("загонка' примеси)
(Рисунок 6.2.2, а, б).
Первую стадию проводят при сравнительно невысоки: температурах (950 — 1050° С) в окислительной
атмосфере На поверхность наносят слой примесно-силикатного стекл; 4 (поверхностный источник), под которым
формируется высоколегированный объемный источник 3 (Рисунок 6.2.2 ,б).
Вторую стадию — диффузионный отжиг, называемую "раз гонкой" (Рисунок 6.2.2, в), проводят предварительно
удалив примесно-силикатное стекло. Температура второй стадии выше 1050 -1230° С. Примеси, введенные на первой
стадии, перераспределяются, их поверхностная концентрация уменьшается, а глуби на проникновения в
полупроводниковый материал увеличивается до заданной xj. Создается требуемая диффузионная об ласть 5.
Температура и длительность второй стадии диффузии определяются заданными параметрами p-n-перехода.
47
Рисунок 6.2.2. Стадии "загонки" (а, б) и "разгонки" (в) примеси при
проведении двух стадийной диффузии:
1 - маскирующий оксид; 2 -пластина; 3 - объемный источник; 4 — примесно-силикатное стекло; 5 — диффузионная
область
после разгонки; 6 — маскирующая пленка для последующей литографии
Процесс ведут в окислительной среде, одновременно формируя маскирующую пленку 6 для последующей
фотолитографии.
6.3 Многостадийная диффузия
Необходимость проведения двухстадийной диффузии при легировании бором связана с тем, что требуется получать
распределение со сравнительно невысокой поверхностной концентрацией, а с помощью одностадийной диффузии это не
всегда удается. Для остальных примесей двухстадий-ная диффузия обеспечивает заданные параметры р-п- переходов и
возможность получения маскирующего оксида. Двухстадийную диффузию проводят различными способами
Рисунок 6.3.1. Схема процесса диффузии в открытой трубе из газообразного или жидкого (а), твердого (б) и поверхностного
(в)
источников:
1 — газовая система; 2 - источник примеси; 3 — кварцевая труба; 4 - кремниевые пластины; 5 — нагреватель; 6 — выходное
отверстие
Наиболее широко в технологии производства ИМС используют с п о с о б диффузии в откры т о й
т р у б е (Рисунок 6.3.1) . Он является основным для первой стадии. Кремниевые пластины 4 (от 50 до 200 шт.)
загружают в кассете в кварцевую трубу 3 через ее выходной конец, сообщающийся с атмосферой. Входной конец
трубы соединен с газовой системой 1 подачи газа-носителя.
Газообразные диффузанты подаются из баллона и перед входом в реактор смешиваются с азотом и
кислородом. В зоне реакции образуется оксид легирующего элемента, а на поверхности кремниевых пластин
выделяется элементарная примесь. Например, процесс диффузии фосфора сопровождается реакциями
на поверхности Si
2Р2О5 + 5Si -> 5SiO2 + 4Р
48
Пары жидких диффузантов из дозатора разбавляются газом-носителем и также образуют оксиды соответствующих
элементов, например:
4РОС13 + ЗО2 => ЗР2О5 + 6С12
Диффузия из газообразных и жидких источников проводится в однозонной диффузионной печи с резистивными
нагревателями 5 (Рисунок 6.3.1,а, в).
Способы проведения двухстадийной диффузии примесей в поверхность полупроводниковых пластин
Способ
диффузии
Тип примеси
Особенности
Диффузия в
открытой трубе
В, Р, Sb (твердый,
жидкий, газообразный источники)
Легкая управляемость составом ПГС, скоростью газового потока;
атмосферное давление
Ампульный
As (твердый источник)
Большая
трудоемкость
и
себестоимость
(одноразовое
использование ампулы); безопасность диффузии мышьяка; вакуум 10-2 10-3 Па
В, Р,
Sb
Бокс-метод
(твердый источник)
Широкие пределы регулирования концентрации
отсутствие влияние газового потока; атмосферное давление
примеси,
При проведении диффузии из твердого источника в ряде случаев используют двухзонные печи с
нагревателем 5 (Рисунок6.3.1, б). При этом в низкотемпературной зоне помещают источник примеси 2, а в
высокотемпературной — кассету с пластинами 4. Газ-носитель, поступая из системы подачи 1, вытесняет из кварцевой
трубы воздух, который удаляется через отверстие 6. Проходя через зону источника примеси, газ-носитель захватывает
атомы примеси и переносит их в зону расположения пластин. Атомы адсорбируются на поверхности и диффундируют в
глубь кремниевых пластин.
В качестве поверхностного источника используют легированные оксиды, примесно-силикатные стекла, пленки
металлов (например, золота), слои легированного поликристаллического кремния. Диффузию проводят в слабо
окислительной среде.
Способ диффузии в открытой трубе позволяет легко управлять составом парогазовой смеси, скоростью потока
газа и обеспечивает требуемый профиль распределения примесей. Воспроизводимость параметров диффузии от пластины
к пластине и по площади каждой пластины зависит от распределения температуры в рабочей зоне печи, числа пластин, их
расположения относительно газового потока, типа диффузанта, чистоты проведения процесса и др.
Д и ф ф у з и ю в з а м к н у т о м о б ъ е м е (ампульный способ) проводят в кварцевой ампуле 2, в
которую помещают пластины 4 и источник примеси 5, откачивают ее до остаточного давления 10~2 — 10~3 Па или
заполняют инертным газом и запаивают (Рисунок 6.3.2). Перед использованием ампулу тщательно очищают и
прокаливают в вакууме при температуре 1200° С в течение двух часов. Ампулу вводят в кварцевую трубу 1
диффузионной печи с нагревателем 3.
При нагревании источника пары примеси осаждаются на поверхности полупроводниковых пластин и
диффундируют в глубь нее. Ампульным способом можно провопить диффузию мышьяка, бора, сурьмы, фосфора с
однородностью легирования до ± 2,5 %. Его достоинством является минимальная токсичность, так как процесс
происходит в замкнутом объеме.
После проведения процесса ампулу разрушают (вскрывают). То, что она имеет одноразовое использование,
сильно удорожает процесс. В настоящее время ампульный способ применяют преимущественно при диффузии мышьяка.
Д и ф ф у з и я в по л у герметичном о б ъ е м е (бокс-метод) является промежуточным способом между
диффузией в открытой трубе и в ампуле. Так же, как в последнем
Рисунок 6.3.2. Схема процесса диффузии в замкнутом объеме:
1 - кварцевая труба; 2 - ампула; 3 - нагреватель; 4 — кремниевые пластины; 5 - источник примеси
49
Рисунок 6.3.3. Схема процесса диффузии бокс-методом:
1 - кварцевая труба; 2 - ампула; 3 — нагреватель; 4 — кремниевые пластины; 5 - источник примеси; 6 — выходное
отверстие; 7 — пришлифованная крышка
лучае, пластины 4 и источник примеси 5 помещают в кварцевую ампулу (бокс) 2, но не запаивают ее, а
закрывают пришлифованной кварцевой крышкой 7, обеспечивающей небольшой зазор (Рисунок 6.3.3). Ампулу
помещают у выходного отверстия 6 кварцевой трубы 1 диффузионной печи с нагревателем 3, через которую продувают
инертный газ. Газ уносит следы кислорода и влаги из ампулы, после чего ее закрывают крышкой и проводят
диффузионный процесс.
По сравнению с диффузией в открытой трубе бокс-метод менее чувствителен к скорости потока газа-носителя и
позволяет в более широких пределах регулировать поверхностную концентрацию примеси. Преимуществом перед
ампульным способом является возможность многократного применения кварцевой ампулы.
6.4. ФИЗИКО-ХИМИЧЕСКИЕ ОСНОВЫ ИОННОГО ЛЕГИРОВАНИЯ
Преимущества ионной имплантации позволили этому методу выйти за рамки исследовательских лабораторий и
шагнуть, в промышленность. Ионная имплантация позволяет не только существенно повысить эффективность, снизить
себестоимость и процент брака при производстве некоторых существующих типов полупроводниковых приборов, но и
создавать принципиально новые приборы. Например, при создании высокоомных резисторов обычной технологией
возникали трудности из-за больших размеров этих резисторов. Если же использовать ионное легирование, то можно
довольно легко получить высокоомные слои с небольшими размерами. В последнее время ,применяя ионную технологию
,были получены, а затем качественно улучшены варакторы, IMPATT -диоды, МОП-транзисторы.
Наряду с легированием полупроводников, ионные лучи находят применение и для осуществления травления
материалов. В основу положен факт приблизительного равенства объемов веществ различной природы, распыляемых
частицами малых энергий. Следовательно, распыление пленки фоторезиста и материала в окнах этой пленки происходит
примерно с одной скоростью. В данном процессе полностью отсутствует подтрав фигур травления и потому очень точно
воспроизводится рисунок фоторезиста.
Новые возможности применения ионного луча, такие ,как ионолитография, селективное осаждение пленок из
ионных пучков и др., открывает широкие перспективы ионнолучевой технологии для создания полупроводниковых
приборов и ИС.
Технологическое оборудование, использующее ионные лучи, различается по своему конструктивному решению,
мощности, степени автоматизации, однако все это основано на одинаковом принципе действия - ионизация атомов,
сепарация и ускорение ионов до необходимой энергии и внедрение их в образцы.
КОНСТРУКЦИЯ АВТОМАТА ИОННОЛУЧЕВОГО ЛЕГИРОВАНИЯ
Автомат ионнолучевого легирования "Иолла-2" имеет следующие основные технические данные:
энергия ионов - 10-75 кэВ;
максимальная температура мишени- 600°С;
предельное давление в рабочей камере - I-T0 мм рт.ст.;
рабочее давление в источнике ионов - 10-10 мм рт.ст.;
угол отклонения ионного пучка - 60°;
точность измерения введенной дозы - 5%;
плотность тока пучка ионов - до 10 мкА/мм;
размер обрабатываемых пластин - 15x15 тг
Принципиальная схема автомата представ; на рисунке 1.
Схема полностью соответствует рассмотренному принципу построения ионнолучевых установок. Смесь газа,
содержащая легирующий элемент, вводится в источник ионов (ИИ) в ионизационную камеру, где молекулы газа
50
ионизуются электронами, эмиттируемыми катодом. Магнитное поле постоянного магнита обеспечивает большую
степень ионизации. Образовавшиеся положительно заряженные ионы вытягиваются из щели ионизатора напряжением I-5
кВ и предварительно ускоренные поступают в камеру масс-сепаратора, а оттуда в рабочую камеру. Щелевая диафрагма,
которая располагается перед входом в камеру, пропускает отсепнрированный пучок ионов на образец. Контроль тока
пучка осуществляется тонким зондом, помещенным на его пути, а весь ток можно определить с помощью заслонки,
которая препятствует пучку попадать на образец. Зонд регистрирует порядка 1% полного тока.
Рисунок 6.4.1 Принципиальная схема ионнолучевого автомата “Иолла-2”.
Рабочая камера сконструирована таким образом, чтобы обеспечить автоматическую работу установки. Вопервых,предусмотрена загрузка и выгрузка образцов без разгерметизации объема рабочей камеры. Для этого служат
шлюзовые устройства загрузки (ШЗ) и выгрузки (ШВ) и механизм захвата образцов для последующей его обработки. Для
равномерной обработки по всей площади образца предусмотрено сканирование, которое осуществляется механической
разверткой обрабатываемого предмета с помощью механизма сканирования (Рисунок 6.4.2). Поскольку обрабатываемая
под ложка находится под высоким потенциалом (до 80 кВ), весь механизм должен быть надежно изолирован от корпуса.
Поэтому к фланцу I он крепится на высоковольтном керамическом держателе-вводе, а привод механизмов от двигателя 8
осуществляется через диэлектрические оси 10. На керамическом стакане закреплен механизм вилки 4, где размещается
оправка с подложкой и подогреватель подложки 5. Механизм качания вилки включает храповое колесо 13,собачки 12,15,
кулачок 14, продольную направляющую 6. Ведомый вал 7, приводящийся в движение двигателем 8 через вильоновское
уплотнение 9 и ось 10, приводит в движение вилку, которая совершает колебательное движение вместе с валом и
поступательное относительно направляющих, жестко связанных с валом, что обеспечивает равномерную обработку
подложки ионным лучом. Управление работой двигателя осуществляется с помощью контактной группы 3 и блока
микропереключателей II с кулачками 16.
После окончания легирования вилка поворачивается и выгружает оправку с образцом кассету шлюза выгрузки и
переходит к шлюзу загрузки для приемки нового образца. Загруженный образец поворачивается в положение для
прогрева и легирования. Так повторяемся цикл, пока вся серия загруженных заготовок не будет пролегирована. Автомат
останавливается и производится смена кассет в шлюзах.
Рисунок 6.4.2. Механизм сканирования:
I-фланец; 2-высоковольтный керамический держатель; 3-контактная группа; 4-вилочный держатель образцов; 5раддационннй подогреватель образцов; 6- продольная направляющая; 7-ведомый вал; 8-двигатель; 9-вильсоновское
уплотнение рабочей оси; 10-рабочая ось; II-блок микропереключателей; 12-собачка; 13-храповое колесо; 14-кулачок; 15собачка.
51
ВАКУУМНАЯ СХЕМА
Для нормальной работы источника ионов требуется создавать достаточно высокий вакуум. Поскольку в лоточнике
напускается газ, то это приводит к необходимости создавать отдельную мощную линию откачки ионного источника.
Вакуумная схема установки приведена на рисунке 3.Она состоит из линии предварительного разряжения и линии
выcокого вакуума. Предварительное разряжение создается механическим насосом I (BH6-2) с производительностью 5
л/сек. Откачка на высокий вакуум осуществляется диффузионным насосом H5C-MI (ДНИ и ДНП). Откачка рабочей
камеры и источника ионов производится через электромагнитные краны ЭМ1 и ЭМ2 и форвакуумную ловушку ФЛ-I,
которая необходима для предотвращения попадания паров масла их механического насоса в откачную систему.
Электромагнитные краны ЭМЗ и ЭМ4 позволяют откачивать шлюзы загрузки (ШЗ) и выгрузки (ШВ) и систему напуска
газов на форвакуум при включенных диффузионных насосах. С помощью: крана ЭМ-5 проводится разгерметизация
шлюзов. Напуск газа в источник ионов осуществляется с помощью натекателей HI и Н2.
Высокий вакуум создается диффузионными насосами со скоростью откачки 500 л/сек при давлении 0.001 мм pт.ст.
Предельное давление 2-5*10(-7) мм рт.ст. обеспечивается этими насосами благодаря использованию масла с высокой
упругостью паров. Вакуум контролируется известными методами. Давление в форвакуумной части и в системе напуска
измеряется термопарными манометрами ПМТ-4М, а высокий вакуум ионизационным манометром ПМИ-2.
Для предотвращения попадания паров масла в рабочий объем у насосов ДНП и ДНИ имеются водяные ловушки Л1
и Л2.
7. МЕТОДЫ ФОРМИРОВАНИЯ КОНФИГУРАЦИИ ЭЛЕМЕНТОВ ИЭОТ
Масочные методы. Метод свободных масок. Классификация масок и методы получения. Метод
контактных масок. Пример формирования рисунка. Литографические методы. Фотолитография.
Определение. Классификация. Контактная фотолитография. Фоторезисты (ФР), виды, требования к
ним, методы нанесения. Фотошаблоны (ФШ). Виды, требования к материалам, технология
получения ФШ. Типовой технологический процесс контактной ФЛГ. Проекционная ФЛГ.
Достоинства и недостатки. Пошаговое экспонирование. ФЛГ с микрозазором. Сопоставление
характеристики методов ФЛГ. Электрополитография.Сканирующая и проекционная. Проецирование
в уменьшенном масштабе. Резисты для субмикронной литографии. Рентгенолитиграфия. Шаблоны
для нее. Достоинства реальные и потенциальные. Проблемы. Ионнолитография. Суть и основные
преимущества. Сравнительные характеристики методов литографии. Формирование рисунка
элементов ИС. «Фрезерованием» остросфокусированным потоком частфд: электронов, ионов,
нейтральных частиц. Излучение оптического квантового генератора.
7.1 Масочные методы. Метод свободных масок. Классификация масок и методы получения.
Метод контактных масок. Пример формирования рисунка. Литографические методы.
Фотолитография. Определение. Классификация.
Литография — это процесс формирования в актиночувствительном слое, нанесенном на поверхность подложек, рельефного рисунка,
повторяющего топологию полупроводниковых приборов или ИМС, и последующего переноса этого рисунка на подложки.
Актиночувствительным называется слой, который изменяет свои свойства (растворимость, химическую
стойкость) под действием актиничного излучения (например, ультрафиолетового света или потока электронов).
Литографические процессы позволяют!
получать на поверхности окисленных полупроводниковых подложек свободные от слоя оксида области,
задающие конфигурацию полупроводниковых приборов и -моментов ИМС, в которые проводится локальная
диффузия примесей для создания p-n-переходов;
52
формировать межсоединения элементов ИМС;
создавать технологические маски из резистов, обеспечивающие избирательное маскирование при ионном
легировании.
Широкое применение литографии обусловлено следующими достоинствами: высокой воспроизводимостью
результатов и гибкостью технологии, что позволяет легко переходить от одной топологии структур к другой сменой
шаблонов; высокой разрешающей способностью актиничных резистов; универсальностью процессов, обеспечивающей их
применение для самых разнообразных целей (травления, легирования, осаждения); высокой производительностью,
обусловленной групповыми методами обработки.
Процесс литографии состоит из двух основных стадий:
формирования необходимого рисунка элементов в слое актиночувствительного вещества (резиста) его
эспонированием и проявлением;
травления нижележащего технологического слоя (диэлектрика, металла) через сформированную
топологическую маску или непосредственного использования слоя резиста в качестве топологической маски при ионном
легировании.
В качестве диэлектрических слоев обычно служат пленки диоксида SiO2 и нитрида Si3N4 кремния, а
межсоединений — пленки некоторых металлов. Все пленки называют технологическим слоем.
В з а в и с и м о с т и от д л и н ы в о л н ы исп о л ь з у е м о г о и з л у ч е н и я применяют следующие
методы литографии:
фотолитографию (длина волны актиничного ультрафиолетового излучения λ =250 … 440 нм);
рентгенолитографию (длина волны рентгеновского излучения λ =0,5 … 2 нм);
электронолитографию (поток электронов, имеющих энергию 10 - 100 КэВ или длину волны λ = 0,05 нм);
ионолитографию (длина волны излучения ионов λ = 0,05 … 0,1 нм).
В з а в и с и м о с т и от с п о с о б а п е р е н о с а и з о б р а ж е н и я методы литографии могут быть контактными и проекционными, а также непосредственной генерации всего изображения или мультипликации единичного
изображения. В свою очередь, проекционные методы могут быть без изменения масштаба переносимого изображения
(Ml : 1) и с уменьшением его масштаба (М 10 : 1;М 5 : 1).Классификация методов литографии приведена на рисунке
7.1.1.
В з а в и с и м о с т и о т т и п а и с п о л ь з у е м о г о р е з и с та (негативный или позитивный) методы
литографии по характеру переноса изображения делятся на негативные и позитивные (Рисунок 7.1.2).
Литография является прецизионным процессом, т. е. точность создаваемых рисунков элементов должна быть в
пределах долей микрометра (0,3 - 0,5 мкм). Кроме того, различные методы литографии должны обеспечивать
получение изображений необходимых размеров любой геометрической сложности, высокую воспроизводимость
изображений в пределах полупроводниковых кристаллов и по рабочему полю подложек, а также низкий уровень
дефектности слоя сформированных масок. В ином случае значительно снижается выход годных изделий.
Для выполнения этих требований необходимы:
применение машинных методов проектирования и автоматизации процессов изготовления шаблонов;
повышение воспроизведения размеров элементов, точности совмещения и использование низкодефектных
методов формирования масок;
53
Рисунок 7.1.1. Классификация методов литографии
Рисунок 7.1.2. Формирование рельефа изображения элементов (а - в) при использовании негативного (7) и позитивного (II)
фоторезистов: 1 - ультрафиолетовое излучение, 2, 3 - стеклянный фотошаблон и нанесенная на него маска, 4 - слой фоторезиста
на кремниевой подложке, 5 — технологический слой для формирования рельефа рисунка, 6 - кремниевая подложка
54
внедрение оптико-механического, химического и контрольного оборудования, обеспечивающего создание
рисунков элементов с заданными точностью и разрешающей способностью;
применение новых технологических процессов генерации и переноса изображения с использованием контактных,
проекционных методов фотолитографии, голографии, электроннолучевой и лазерной технологии;
разработка технологических процессов прямого получения рисунка элементов микросхем, минуя применение
защитных покрытий, развитие элионных процессов.
Литографические процессы непрерывно совершенствуются: повышается их прецизионность и разрешающая
способность, снижается уровень дефектности и увеличивается производительность.
7.2 Контактная фотолитография
Фотолитография — это сложный технологический процесс, основанный на использовании необратимых фотохимических
явлений, происходящих в нанесенном на подложки слое фоторезиста при его обработке ультрафиолетовым излучением через маску
(фотошаблон).
Технологический процесс фотолитографии можно разделить на три стадии:
формирование фоторезистивного слоя (обработка подложек для их очистки и повышения адгезионной
способности, нанесение фоторезиста и его сушка);
формирование защитного рельефа в слое фоторезиста (совмещение, экспонирование, проявление и сушка слоя
фоторезиста, т. е. его задубливание);
создание рельефного изображения на подложке (травление технологического слоя — пленки SiO2, Si3N4, металла,
удаление слоя фоторезиста, контроль).
Последовательность выполнения основных операций при фотолитографии показана на рисунке 3.
Поверхность подложек предварительно очищают, чтобы обеспечить их высокую смачиваемость и адгезию
фоторезиста, а также исключить посторонние включения. Затем на подложки тонким слоем наносят слой фоторезиста
(светочувствительную полимерную композицию) и сушат его для удаления растворителя.
Совмещение фотошаблона с подложкой и экспонирование выполняют на одной установке. Цель операции
совмещения — совпадение рисунка фотошаблона с нанесенным на предыдущей операции на подложку рисунком. Далее
слой фоторезиста экспонируют — подвергают воздействию ультрафиолетового
Рисунок 7.2.1. Последовательность выполнения основных операций при фотолитографии
излучения через фотошаблон. В результате этого рисунок с фотошаблона переносится на слой фоторезиста.
При проявлении слоя фоторезиста отдельные его участки вымываются и на подложке при использовании
позитивного фоторезиста остаются неэкспонированные (незасвеченные) участки, а если применялся негативный
фоторезист, то экспонированные. Затем слой фоторезиста термообрабатывают при повышенной температуре, т. е.
задубливают, вследствие чего происходит его частичная полимеризация и повышается стойкость к травителю.
Заканчивается процесс фотолитографии травлением незащищенных фоторезистом участков подложки, созданием
рельефного рисунка на технологическом слое и удалением остатков фоторезиста. Таким образом, слой
фоторезиста служит для передачи рисунка с фотошаблона на технологический слой.
ПОЗИТИВНЫЕ И НЕГАТИВНЫЕ ФОТОРЕЗИСТЫ
Фоторезисты — это светочувствительные материалы с изменяющейся по действием света растворимостью, устойчивые к
воздействию травителей и применяемые для переноса изображения на подложку.
Фоторезисты являются многокомпонентными мономерно-полимерными материалами, в состав которых входят:
55
светочувствительные (поливинилциннаматы — в негативные фоторезисты и нафтохинондиазиды - в позитивные) и
пленкообразующие (чаще всего это различные фенолформальдегид-ные смолы, резольные и новолачные смолы)
вещества, а также растворители (кетоны, ароматические углеводороды, спирты, диоксан,
циклогексан,
диметилформамид и др.).
В процессе фотолитографии фоторезисты выполняют две функции: с одной стороны, являясь светочувствительными материалами,
они позволяют создавать рельеф рисунка элементов, а с другой, обладая резистивными свойствами, защищают технологический слой при травлении.
Как уже отмечалось, рельеф образуется в результате того, то под действием актиничного излучения, падающего
через фотошаблон на определенные участки слоя фоторезиста, он изменяет свои первоначальные свойства. Для
большинства фоторезистов актиничным является ультрафиолетовое излучение. .
В основе создания рельефа в пленке негативных фоторезистов лежит использование фотохимической реакции
фотоприсоединения - фотополимеризацш, а в пленке позитивных фоторезистов - реакции фоторазложения - фотолиза.
При фотополимеризации происходит поперечная сшивк; молекул полимера, в результате чего они укрупняются.
Поел* экспонирования под действием актиничного излучения изме няется структура молекул полимера, они
становятся трехмер ными и их химическая стойкость увеличивается.
При фотолизе в фоторезисте под воздействием актиничного излучения у молекул полимера происходит обрыв
слабых связей и образуются молекулы менее сложной структуры. Таким образом, фотолиз является процессом,
противоположных фотополимеризации. Получающийся в результате фотолиз, полимер обладает пониженной
химической стойкостью.
Многие полимерные вещества, из которых изготовляю: фоторезисты, содержат функциональные группы,
поглощающие свет в ультрафиолетовой области спектра. Собственная светочувствительность полимера при введении в
него специальные добавок — стабилизаторов и сенсибилизаторов* может изменяться в широких пределах. Одна и та
же добавка для различных полимеров может служить и стабилизатором и сенсибилизатором. Объясняется это тем, что
эффект действия добавок определяется не только их химическим составом, но и энергетическим взаимодействием с
исходным полимером.
В зависимости от характера протекающих в фоторезисте фотохимических реакций определяется и тин фоторезиста
— позитивный или негативный.
Н е г а т и в н ы е ф о т о р е з и с т ы под действием актиничного излучения образуют защищенные участки
рельефа. После термообработки - задубливания - в результате реакции фотополимеризации освещенные при
экспонировании участки не растворяются в проявителе и остаются на поверхности подложки. При этом рельеф
представляет собой негативное изображение элементов фотошаблона.
В качестве негативных фоторезистов применяют составы на основе сложного эфира поливинилового спирта
и коричной кислоты С 6 Н 5 —СН = СН—СООН . Эти составы называют поливинилциннаматами (ПВЦ) и их
формула имеет вид R1 — [O — R2]n , где R1 — макромолекула поливинилового спирта, содержащая большое количество
атомов; R2 - светочувствительные циннамоильные группы, представляющие собой продукты коричной кислоты.
Молекулы ПВЦ представляют собой длинные спирали, состоящие из десятков тысяч атомов (молекулярная
масса до 200 тыс. ед.). При поглощении фотонов ультрафиолетового излучения в результате фотохимической реакции
фотополимеризации происходит разрыв слабой двойной связи — С = С -циннамоильной группы и образовавшиеся
свободные связи сшивают молекулы полимера в химически стойкую трехмерную структуру.
В зависимости от способов получения и свойств исходных продуктов фоторезисты на основе ПВЦ могут обладать
различными характеристиками по светочувствительности, разрешающей способности, кислотостойкое и др.
Фоторезисты на основе ПВЦ представляют собой белый порошок, растворяющийся в органических
растворителях (смесях толуола с хлорбенз олом, ацетата этиленгликоля с метаксилолом и др.). Проявителями
для этих фоторезистов служит трихлорэтилен или его смесь с изопропиловым спиртом. Время проявления 0,5 — 1
мин. Фоторезисты на основе ПВЦ имеют удовлетворительную кислотостойкость: они не выдерживают воздействия
концентрированной плавиковой кислоты, но устойчивы к травителям с небольшим ее содержанием.
Повышенной кислотостойкостью обладают негативные фоторезисты на основе изопропилового каучука,
циклокаучука и других каучуков с различными добавками. Так как сами каучуки не являются
светочувствительными веществами, в состав фоторезистов вводят светочувствительные диазосоеди-нения —
сенсибилизаторы. Под действием света молекула диа-зосоединения разлагается с потерей молекулы азота, образуя
новые вещества — нитрены, которые вступают в реакцию с макромолекулами каучука. В результате образуется стойкая
трехмерная структура. Растворителем для таких фоторезистов служит смесь ксилола с толуолом, а в качестве
проявителей используются составы на основе ксилола^ толуола, уайт-спирита.
Примерами негативных фоторезистов являются ФН-11, ФН-11К, ФН-4ТВ, ФН-ЗТ и ФН-106.
Негативные фоторезисты чувствительны к ультрафиолетовому излучению в диапазоне длин волн 260 - 320 нм.
При добавлении стабилизаторов светочувствительность увеличивается в 100 — 300 раз. Разрешающая способность
негативных фоторезистов 100 — 300 лин/мм при толщине слоя от 0,3 до 0,5 мкм. Современные негативные
фоторезисты обеспечивают формирование микроизображений с шириной линий 2 —■ 3 мкм.
П о з и т и в н ы е ф о т о р е з и с т ы , наоборот, передают один к одному рисунок фотошаблона, т. е. рельеф
повторяет
конфигурацию его непрозрачных элементов. Актиничное излучение так изменяет свойства позитивного
фоторезиста, что при обработке в проявителе экспонированные участки слоя разрушаются и вымываются. В позитивных
фоторезистах при освещении происходит распад молекул полимера и уменьшается их химическая стойкость.
56
В качестве позитивных фоторезистов используют смеси сульфоэфиров нафтохинондиазидов (НХД) с
фенолформаль-дегидными
смолами
(новолачными
или
резольными)
в
органических
растворителях.
Светочувствительной основой такого фоторезиста является НХД, а смола играет роль кислотостойкого полимера. При
экспонировании в результате фотохимических реакций фотолиза гидрофобные производные НХД разрушаются и
становятся гидрофильными, приобретая способность растворяться в слабых водных растворах щелочей, которые и
являются проявителем для позитивных фоторезистов.
Позитивные фоторезисты и режимы их обработки
Марка
ФП-383
ФП-РН-
Та б л и ц а 7.2.1.
Область применения
Раств
оритель
Реж
им
нанесения,
об/мин
Диокс
ан
250
0-3000
-1,1
ДМФ
А, МЦА
250
0-3000
-1,1
ДМФ
А, МЦА
250
0-3000
-1,4
Производство фотошаблонов
контактной фотолитографией
МЦА
200
0-2500
-1,0
Фотолитография при изготовлении
БИС и СБИС с использованием
контактного экспонирования, жидкостного
и плазмохи-мического травления
МЦА
200
0-2500
-1,5
ЭЦА,
ДМФА
250
0-3000
-2,5
ЭЦА,
диглим
350
0-4000
-1,8
Производство приборов, ИМС и
полупроводниковых печатных плат с
использованием контактного
экспонирования и плазмо-химического
травления
То же
7
ФП-РН-
То же
27В
ФП051Ш
ФП051Т
ФП-
То же
051К
ФП-051
МК
ФП-25
Прецизионная фотолитография при
изготовлении БИС и СБИС с
использованием проекционного
экспонирования
Изготовление масок
То
лщина
слоя, мкм
Р
ежим
сушки,
С
0,9
9
5-105
0,7
2%-ный
Na3PO4
9
0,5%-ный
КОН
9
0,6%-ный
КОН
9
0,6%-ный
КОН
9
0,6%-ный
КОН
9
5-105
0,6%-ный
КОН
1
00-110
0,6%-ный
КОН
5-105
1,1
5-105
0,8
0-95
1,0
5-105
2,1
1,6
Проявите
ль
ПП-051 К
Диокс
ан
150
0-2000
6,0
-8,0
9
0-100
0,5%-ный
КОН
Примечани е. ДМФА - диметилформамид; МЦА — метилцеллозольвацетат; ЭЦА — этилцеллозольвацетат.
Растворителями позитивных фоторезистов являются спирты, кетоны, ароматические углеводороды, диоксан,
ксилол или их смеси.
Позитивные фоторезисты на основе НХД чувствительны к ультрафиолетовому излучению в диапазоне длин
волн 250 — 450 нм. Разрешающая способность их выше, чем негативных фоторезистов (500 — 600 лин/мм при
толщине слоя 1 мкм), что позволяет формировать микроизображения с шириной линий 1 — 2 мкм. Позитивные
фоторезисты обладают высокой кислотостойкостыо; выдерживают действие концентрированных плавиковой и азотной
кислот.
Основные позитивные фоторезисты и режимы их обработки приведены В таблице 1.
7.3.Фоторезисты (ФР), виды, требования к ним, методы нанесения
Основными параметрами фоторезистов являются светочувствительность, разрешающая способность,
кислотостойкость, адгезия к подложке и технологичность.
СветочувствительностьS, см2 /(Вт • с),- это величина, обратная экспозиции, т. е. количеству световой энергии,
необходимой для облучения фоторезиста, чтобы перевести его в нерастворимое (негативный) или растворимое
(позитивный) состояние :
(7.3.1)
где Н - экспозиция Вт • с/см ; Е — энергооблученноеть, Вт/см2; t — длительность облучения, с,
Точную характеристику светочувствительности можно получить, учитывая не только процесс экспонирования, но и
проявления. Так как проявитель химически взаимодействует с экспонированными и неэкспонированными участками
57
слоя фоторезиста, процесс проявления оказывает прямое влияние на его светочувствительность. В прямой зависимости
от процесса проявления, а следовательно, и светочувствительности фоторезиста находится качество формируемого в
его слое при проявлении рисунка элементов.
Таким образом, критерием светочувствительности фоторезиста служит четкость рельефа рисунка в его слое после проведения
процессов экспонирования и проявления. При этом рельеф рисунка должен иметь резко очерченную границу между областями удаленного и
оставшегося на поверхности подложки слоя фоторезиста.
Критерием светочувствительности негативных фоторезистов является образование после экспонирования и
проявления на поверхности подложки локальных полимеризованных участков — рельефа рисунка, т. е. полнота
прохождения фотохимической реакции полимеризации (сшивки) молекул основы фоторезиста.
Критерием светочувствительности позитивных фоторезистов является полнота разрушения и удаления
(реакция фотолиза) с поверхности подложки локальных участков слоя фоторезиста после экспонирования и
проявления и образование рельефного рисунка.
Фоторезисты характеризуются также пороговой светочувствительностью Sn = 1/H1, определяемой началом
фотохимической реакции.
Светочувствительность и пороговая светочувствительность фоторезиста зависят от толщины его слоя, а также
состава и концентрации проявителя. Поэтому, говоря о значении светочувствительности и пороговой
светочувствительности, учитывают конкретные условия проведения процесса фотолитографии. Определяют
светочувствительность экспериментально, исследуя скорость проявления фоторезиста, которая зависит от степени его
облучения.
Разрешающая способность - это один из самых важных параметров фоторезистов, характеризующий их
способность к созданию рельефа рисунка с минимальными размерами элементов. Разрешающая способность
фоторезиста определяется числом линий равной ширины, разделенных промежутками такой же ширины и
умещающихся в одном миллиметре.
Для определения разрешающей способности фоторезистов используют штриховые миры, представляющие
собой стеклянные пластины с нанесенными на их поверхность штрихами шириной от одного до нескольких десятков
микрометров. Разрешающую способность определяют проводя экспонирование подложки, покрытой слоем
фоторезиста, через штриховую миру, которую используют в качестве фотошаблона. После проявления выделяется
участок с различными штрихами наименьшей ширины, которые и характеризуют разрешающую способность данного
фоторезиста.
Следует различать разрешенную способность фоторезиста и разрешающую способность процесса фотолитографии, которая зависит от
режимов травления. На практике необходимо ориентироваться на разрешающую способность фотолитографического процесса.
При эпитаксиально-планарной технологии разрешающая способность фотолитографии — это предельное
количество линий в одном миллиметре, вытравленных в слое диоксида крем ния толщиной 0,5 — 1,0 мкм через
промежутки равной ширины. Разрешающая способность лучших современных фоторезистов достигает 1500 — 2000
линий/мм. Разрешающая способность отечественных фоторезистов ФП-383 и ФП-РН-7 составляет 400 — 500 линий/мм,
что позволяет получать контактной и проекционной фотолитографией рисунки элементов, соответственно имеющие
размеры 1,25 — 1,5 и 0,5 — 0,6 мкм.
Кислотоетойкостъ — это способность слоя фоторезиста защищать поверхность подложки от воздействия
кислотного травителя. Критерием кислотостойкости является время, в течение которого фоторезист выдерживает
действие травителя до момента появления таких дефектов, как частичное разрушение, отслаивание от подложки,
локальное точечное расстрав-ливание слоя или подтравливание его на границе с подложкой,
Стойкость фоторезиста к химическим воздействиям зависит не только от состава, но и от толщины и состояния
его слоя. Поэтому кислотостойкость оценивают фактором травления К = h/х.,(где h - глубина травления; х боковое подтравливание) .
Таким образом, чем меньше боковое подтравливание при заданной глубине травления, тем выше кислотостойкость фоторезиста.
Боковое подтравливание характеризуется клином травления.
Адгезия - это способность слоя фоторезиста препятствовать проникновению травителя к подложке по периметру
создаваемого рельефа рисунка элементов. Критерием адгезии является время отрыва слоя фоторезиста заданных
размеров от подложки в ламинарном потоке проявителя. В большинстве случаев адгезию
считают
удовлетворительной, если слой фоторезиста
20x20 мкм 2 отрывается за 20 мин. Об адгезии фоторезиста к подложке можно судить по углу смачивания, т. е.
состоянию поверхности подложки.
Стабильность свойств фоторезистов характеризуется их сроком службы при определенных условиях хранения и
эксплуатации и обеспечение ее
является одной из
важнейших проблем
производства
изделий
микроэлектроники.БРАБОТКА ПОВЕРХНОСТИ ПОДЛОЖЕК
Качество процесса фотолитографии во многом определяется механическим и физико-химическим состоянием поверхности
подложек.
М е х а н и ч е с к о е с о с т о я н и е п о в е р х н о с т и п о д л о ж е к влияет на точность получения элементов
рисунка, поэтому любые неровности, микробугорки, впадины, царапины и риски приводят к их искажению. Кроме того,
при нанесении слоя фоторезиста эти дефекты вызывают появление пузырьков или проколов в слое фоторезиста.
Необходимое качество поверхности подложек обеспечивается на начальных стадиях их изготовления механической
обработкой: резкой слитков на пластины, шлифовкой и полировкой пластин, в результате которой их поверхность
доводится до зеркального блеска и приобретает идеальную плоскостность и плоскопараллельность.
58
Ф и з и к о - х и м и ч е с к о е с о с т о я н и е поверхности подложек влияет на ее смачиваемость и адгезию
фоторезиста. Поэтому на рабочих поверхностях подложек не должно быть инородных частиц, а также адсорбированных
атомов и ионов жидкостей и газов. Так как большинство фоторезистов содержит в своей основе полимеры,
обладающие гидрофобными свойствами, то и поверхность подложек должна быть гидрофобной.
Критерием оценки состояния поверхности подложки может служить краевой угол ее смачивания каплей
деионизованной воды. Если капля воды растекается по поверхности подложки, т. е. ее угол смачивания менее 40 °,
такую поверхность называют гидрофильной. Поверхность, на которой капля воды не растекается и образует угол
смачивания более 90 °, называют гидрофобной.
При фотолитографии необходимо, чтобы поверхность подложек была гидрофильна к фоторезисту и гидрофобна к травителю,
тогда вытравленный рисунок будет точно повторять рисунок фотошаблона.
Перед нанесением слоя фоторезиста или какой-либо пленки полупроводниковые подложки для удаления
органических загрязнений обрабатывают в химических реактивах, а затем подвергают гидромеханической отмывке
(Рисунок 7.3.1,а, б).
Рисунок 7.3.1. Схемы гидромеханической отмывки подложек цилиндрической (а) и конической (б) щетками:
1 - форсунка, 2 - щетки, 3 - подложка
Для формирования полупроводниковых структур используют пленки полупроводников (Si, Ge, GaAs),
диэлектриков (оксида SiO2 и нитрида Si3N7.3.1 кремния, примесно-силикатных стекол) и металлов (Al, V, W, Ti, Аи),
а также силицидов и оксидов тугоплавких металлов.
Поверхность подложек с выращенными термическим окислением пленками SiO2 сразу после образования пленки
гидрофобна. Поэтому рекомендуется непосредственно после окисления, не превышая межоперационное время более 1
ч, передавать подложки на фотолитографию. Через несколько часов поверхность подложек с пленкой SiO2 становится
гидрофильной, на ней адсорбируются молекулы воды из атмосферы, угол смачивания уменьшается до 20 - 30 ° и
адгезия фоторезиста падает, что приводит к браку. Для придания поверхности таких подложек гидрофобных свойств
их термообрабатывают при 700 — 800 ° С в сухом инертном газе или в вакууме.
Если слой фоторезиста наносят на пленку примесно-силикат-ного стекла, следует иметь в виду, что поверхность
боросиликат-ного стекла гидрофобна и аналогична по поведению пленке SiO2 а фосфоросиликатного стекла
гидрофильна (угол смачивания не превышает 15 °). Гидрофобные свойства поверхности фосфоросиликатного стекла
придают термообработкой при 100 — 500 °С в течение 1 ч в сухом инертном газе или в вакууме. Режим
термообработки выбирают в зависимости от технологии изготовления и конструкции микроэлектронного из делия.
Гидрофобность силикатных стекол повышают также обработкой их в трихлорэтилене или ксилоле.
Характеристики поверхности пленок Al, V, W, Ti и Аu наносимых вакуумным распылением, зависят от режима
проведения процесса и смачиваемости подложек. Перед фотолитографией пленки обязательно обезжиривают в
растворителях.
Эффективным методом повышения адгезии фоторезиста к пленке является ее обработка в парах специальных
веществ — адгезивов, придающих поверхности гидрофобные свойства. Наиболее распространенным адгезивом
является гексаметил-дисилазан.
Нанесение слоя фоторезиста. Нанесенный на предварительно подготовленную поверхность подложек слой
фоторезиста должен быть однородным по толщине по всему их полю, без проколов, царапин (т. е. быть сплошным) и
иметь хорошую адгезию.
Наносят слой фоторезиста на подложки в обеспыленной среде, соблюдая технологические режимы. Используемый
фоторезист должен соответствовать паспортным данным. Перед употреблением его необходимо профильтровать через
специальные фильтры, а в особо ответственных случаях (при производстве БИС) обработать на центрифуге при
частоте вращения 10 - 20 тыс. об/мин в течение нескольких часов. Это делают для того, чтобы удалить из
фоторезиста инородные микрочастицы размером менее 1 мкм, которые могут привести к бра ку фоторезистивного
слоя. Кроме того, необходимо проверить вязкость фоторезиста и довести ее до нормы.
59
Для нанесения слоя фоторезиста на подложки используют методы центрифугирования, пульверизации,
электростатический, окунания и полива. Кроме того, применяют накатку пленки сухого фоторезиста.
Методом
центрифугирования
(Рисунок 7.3.2), наиболее широко используемым в
полупроводниковой технологии, на несложном оборудовании наносят слои фоторезиста, толщина которых колеблется
в пределах ± 10 %. При этом методе на подложку 2, которая устанавливается на столике 3 центрифуги и
удерживается на нем вакуумным присосом, фоторезист подается капельницей-дозатором 1. Когда столик приводится
во вращение, фоторезист растекается тонким слоем по поверхности подложки, а его излишки сбрасываются с нее и
стекают по кожуху 4. При вращении центрифуги с большой частотой происходит испарение растворителя и вязкость
фоторезиста быстро возрастает.
Рисунок 7.3.2 (cлева). Установка несения слоя фоторезиста центрифугированием:
1 — дозатор (капельница), 2 — подложка, 3 - столик, 4 - кожух для сбора избытка фоторезиста, 5 - вакуумные уплотнители, 6 электродвигатель, 7 - трубопровод к вакуумному насосу
Рисунок 7.3.3(справа). Зависимость толщины слоя фоторезиста от частоты вращения центрифуги при различных
коэффициентах его вязкости:
1 - v 0,05 см/с, 2 - v = 0,04 см/с, 3 - v = 0,02 см/с
Наносимые центрифугированием слои фоторезиста могут иметь дефекты в виде "комет", образующиеся, если на
поверхности подложек имелись остаточные загрязнения или фоторезист был плохо отфильтрован. Такие дефекты
выглядят, как направленные от центра локальные утолщения или разрывы слоя фоторезиста.
Полуавтомат для нанесения слоя фоторезиста центрифугированием состоит из блоков центрифуг и дозаторов,
блока управления, а также блока подачи и приема подложек и выполнен в виду двух треков. В блоке центрифуг имеется
электродвигатель малой инерционности, частота вращения которого контролируется специальным электронным
блоком. Подложки удерживаются на столиках центрифуг вакуумным присосом, создаваемым системой вакуумной
откачки. Блок дозаторов укреплен на задней стенке полуавтомата. Дозирование фоторезиста ведется с помощью
электроиневмоклапанов, а подача осуществляется под давлением азота. Блок управления обеспечивает согласование
работы всех блоков полуавтомата.
Полуавтомат предназначен для одновременного нанесения слоя фоторезиста по двум трекам, на которые
загружаются стандартные кассеты с 25 подложками. После нанесения фоторезиста подложки поступают в разгрузочную
кассету или проходят по треку на сушку в конвейерную печь.
Достоинствами методами центрифугирования являются его простота, отработанность и удовлетворительная
производительность оборудования, а также возможность нанесения тонких слоев фоторезиста с небольшим разбросом
по толщине. Недостатки этого метода — трудность нанесения толстых слоев фоторезиста (более 3 мкм),
необходимость тщательного контроля его коэффициента вязкости и режимов работы центрифуги.
М е т о д п у л ь в е р и з а ц и и (Рисунок 7.3.4), являющийся весьма перспективным, основан на нанесении
слоя фоторезиста в виде аэрозоля с помощью форсунки, действующей под давлением сжатого воздуха или инертного
газа. Подложки располагаются на расстоянии в несколько сантиметров от форсунки, и фоторезист, осаждаясь в виде
капель, покрывает их сплошным слоем. Метод пульверизации позволяет в автоматическом режиме вести групповую
обработку подложек. При этом толщина слоя фоторезиста составляет от 0,3 до 20 мкм с точностью не хуже 5 %.
Достоинствами метода пульверизации являются: возможность изменения толщины слоя фоторезиста в широких
пределах: однородность слоев по толщине; отсутствие утолщений по краям подложек; нанесение фоторезиста на
профилированные подложки (в малейшие углубления и отверстия): сравнительно малый расход фоторезиста;
высокая производительность и автоматизация процесса; хорошая адгезия слоя к подложкам (лучшая, чем при
центрифугировании).
Недостатки этого метода состоят в том, что при его использовании необходимо специально подбирать
60
растворители, так как слой фоторезиста не должен стекать по подложкам. Кроме того, следует тщательно очищать
фоторезист и используемый для пульверизации газ.
Основными элементами установки для нанесения слоя фоторезиста .пульверизацией являются форсункапульверизатор и стол, на котором закрепляют подложки. Для равномерного покрытия подложек слоем фоторезиста
стол и форсунка перемещаются в двух взаимно перпендикулярных направлениях.
Рисунок 7.3.4. Нанесение слоя фоторезиста пульверизацией:
1 — область разрежения, 2 — сопло, 3 — форсунка, 4 — регулирующая игла, 5 — распыляющий газ, 6 - подача
фоторезиста
П р и э л е к т р о с т а т и ч е с к о м м е т о д е (Рисунок 7.3.5) спой фоторезиста наносят на подложки в
электрическом поле напряженностью 1 — 5 кВ/см. Для создания такого поля между подложкой 3 и специальным
кольцевым электродом 2 подают постоянное напряжение 20 кВ. При впрыскивании фоторезиста форсункой 1 в
пространство между электродом и подложкой капельки фоторезиста диаметром в несколько микрометров
заряжаются, летят под действием электрического поля к подложке на ней.
Этот метод имеет высокую производительность и позволяет наносить слой фоторезиста на подложки большой
площади. Недостаток его - трудность стабилизации процесса и сложность оборудования.
М е т о д ы о к у н а н и я и п о л и в а я в л я ются простейшими среди всех методов нанесения слоя
фоторезиста.
При окунании подложки погружают на несколько секунд в ванну с фоторезистом, а затем с постоянной
скоростью вытягивают из нее в вертикальном положении специальными подъемными устройствами и сушат,
установив вертикально или наклонно.
Полив фоторезиста на горизонтально расположенные подложки обеспечивает лучшую по сравнению с окунанием
однородность слоя по толщине. Следует отметить, что при этом методе неизбежны утолщения слоя фоторезиста по
краям.
Окунание и полив применяют для нанесения слоя фоторезиста на подложки больших размеров, а также его
толстых слоев (до 20 мкм) на обе стороны подложек. Недостаток этих методов - неоднородность слоя
фоторезиста по толщине.
Общим недостатком нанесения жидких фоторезистов является трудность получения сплошных слоев заданной толщины.
Н а к а т к а п л е н к и с у х о г о ф о т о р е з и с т а значительно упрощает процесс и обеспечивает получение
равномерного покрытия на подложках большой площади. Пленочный фоторезист представляет собой трехслойную
ленту, в которой слой фоторезиста заключен между двумя полимерными пленками: одна (более прочная) является
несущей, а другая — защитной.
Предварительно защитную пленку удаляют, а фоторезист вместе с несущей пленкой накатывают валиком на
подложки, нагретые до 100 °С. Под действием температуры и давления фоторезист приклеивается к подложке. При
этом его адгезия к подложке выше, чем к несущей пленке, которую затем снимают.
61
Рисунок 7.3.5. Нанесение фоторезиста в электростатическом поле:
1 - форсунка, 2 - кольцевой электрод, 3 — подложка, 4 — столик
Недостатки этого метода - большая толщина (10 — 20 мкм) и низкая разрешающая способность слоя сухого
фоторезиста. Поэтому накатку пленки сухого фоторезиста используют только при больших размерах элементов ИМС.
Сушка слоя фоторезиста. Для окончательного удаления растворителя из слоя фоторезиста его просушивают. При
этом уплотняется молекулярная структура слоя, уменьшаются внутренние напряжения и повышается адгезия к
подложке. Неполное удаление растворителя из слоя фоторезиста снижает его кислотостойкость. Для удаления
растворителя подложки нагревают до температуры, примерно равной 100 °С. Время сушки выбирают оптимальным для
конкретных типов фоторезистов.
Температура и время сушки значительно влияют на такие важные параметры фоторезистов, как время их
экспонирования и точность передачи размеров элементов после проявления. Большое значение при сушке имеет
механизм подвода теплоты. Существует три метода сушки фоторезиста: конвекционный, инфракрасный и в СВЧ-поле.
П р и к о н в е к т и в н о й сушке подложки выдерживают в термокамере при 90 — 100 °С в течение 15 — 30
мин. Недостаток этого метода — низкое качество фоторезистового слоя.
П р и и н ф р а к р а с н о й сушке источником теплоты является сама полупроводниковая подложка,
поглощающая ИК-излучение от специальной лампы или спирали накаливания. Окружающая среда (очищенный и
осушенный инертный газ или воздух) при этом сохраняет благодаря непрерывной продувке примерно комнатную
температуру. Так как "фронт сушки" перемещается от подложки к поверхности слоя фоторезиста, качество сушки по
сравнению с конвективной существенно выше, а время сокращается до 5 - 10 мин.
В электронной промышленности широко используются установки ИК-сушки УИС-1 и конвейерные печи с
инфракрасными нагревателями. Система измерения и стабилизации температуры в них основана на определении
температуры эталонных подложек, закрепленных на рамке внутри рабочей камеры, для продувки которой служат
вентиляторы. Источниками теплоты являются лампы ИК-излучения. Время и температура сушки поддерживаются
автоматически.
П р и С В Ч - с у ш к е подложки нагреваются, поглощая электромагнитную энергию СВЧ-поля. Такая сушка
производится в печах мощностью 200 — 400 Вт при рабочей частоте 2,45 ГГц. Время сушки — несколько секунд.
Достоинством этого метода является высокая производительность, а недостатками — сложность оборудования и
необходимость тщательного экранирования рабочего объема во избежание облучения оператора, а также
неравномерность сушки слоя фоторезиста на различных по электрическим характеристикам участках подложек.
Поэтому сушке в СВЧ-поле подвергают только однородные подложки.
При любом методе сушки ее режимы (время, температура) должны исключать появление структурных изменений в слое фоторезиста.
Высушенный слой необходимо экспонировать не позднее чем через 10 ч. Сушку подложек следует выполнять в тщательно обеспыленной среде
10-го и 1-го классов чистоты. Контролируют качество сушки визуально или под микроскопом.
Основные виды и причины брака. При нанесении слоя фоторезиста могут появиться различные виды брака.
Плохая адгезия фоторезиста к подложке вызывает при последующем травлении растравливание и искажение
рисунков элементов. Причиной плохой адгезии является некачественная подготовка поверхности подложек.
Локальные неоднородности рельефа слоя фоторезиста, имеющие вид капелек, обусловлены попаданием
пылинок на подложки или присутствием посторонних частиц в фоторезисте.
Микродефекты (проколы) слоя фоторезиста связаны с теми же причинам, что и локальные неоднородности
рельефа.
Неоднородности рельефа слоя фоторезиста в виде радиаль-но расходящихся длинных лучей вызываются
нарушением режима центрифугирования в процессе нанесения слоя (вибрацией столика при вращении).
Неоднородность толщины слоя фоторезиста на подложках и разброс ее на разных подложках являются
результатами перекоса столика, уменьшения частоты его вращения и увеличения времени разгона центрифуги.
Отклонение толщины слоя фоторезиста от заданной может быть также связано с изменением вязкости фоторезиста.
Точность полученного в процессе фотолитографии топологического рисунка в первую очередь определяется прецизионностью процесса совмещения.
Передача изображения с фотошаблона на подложку должна выполняться с точностью до десятых долей
62
минимального размера элемента, что обычно составляет 0,1 — 0,5 мкм. Поэтому процессы совмещения и
экспонирования проводят на одном рабочем месте одновременно на одной установке, не допуская даже малой
вибрации фотошаблона и подложки.
Совмещение и экспонирование являются наиболее ответственными операциями процесса фотолитографии.
Перед экспонированием слоя фоторезиста фотошаблон следует правильно сориентировать относительно
подложки у рисунка предыдущего слоя. Для полного формирования струю туры полупроводникового прибора или
ИМС необходим комплект фотошаблонов со строго согласованными топологическими рисунками элементов.
При первой фотолитографии, когда поверхность подложек еще однородна, фотошаблон ориентируют
относительно базового среза подложки. При последующих фотолитографиях, когда на подложках сформированы
топологические слои, рисунок фотошаблона ориентируют относительно рисунка предыдущего слоя.
Совмещают рисунки фотошаблона и подложки в два эта па. На первом этапе с помощью реперных модулей —
"пустых кристаллов" выполняют грубое совмещение в пределах всего поля подложки. На втором этапе с помощью
микроскопа в пределах единичного модуля по специальным знакам - фигурам совмещения, предусмотренным в
рисунке каждого топологического слоя, выполняют точное совмещение. Форму фигур совмещения (кресты, круги,
квадраты) выбирают в зависимости от типа используемого при фотолитографии фоторезиста (Рисунок 7.3.6,а - в).
Рисунок 7.3.6. Фигуры совмещения на фотошаблонах (I) и подложках после второй (II) и четвертой (III) фотолитографии:
а - концентрические окружности, б — вложенные квадраты, в - биссекторные знаки
Сложность операции совмещения состоит в том, что приходится с высокой точностью совмещать элементы
малых размеров на большой площади. Для этого увеличение микроскопа должно быть не менее 200 раз.
Современные установки обеспечивают точность совмещения 0,25 — 1 мкм. Точность совмещения
последовательных рисунков зависит от следующих факторов:
точности совмещения фотошаблонов в комплекте;
точности воспроизведения форм и размеров элементов рисунков в процессе фотолитографии;
качества подложек и слоев фоторезиста;
совершенства механизма совмещения установки;
разрешающей способности микроскопа;
соблюдения температурного режима.
Существует два метода совмещения фотошаблонов с подложками:
визуальный, при котором, выполняя совмещение, наблюдают за контрольными отметками в микроскоп; при
этом точность совмещения составляет 0,25 — 1 мкм и зависит от возможностей установки;
автоматизированный фотоэлектрический с помощью фотоэлектронного микроскопа, обеспечивающий точность
совмещения 0,1 — 0,3 мкм.
При контактной фотолитографии операцию совмещения выполняют с помощью специального механизма
совмещения микроизображений (Рисунок 7.3.7), основными элементами которого являются предметный шаровой
столик 1 со сферическим основанием - гнездом 2, рамка 16 для закрепления фотошаблона 15 и устройство
перемещения рамки и поворота предметного столика.
Предварительно подложку размещают на предметном столике так, чтобы слой фоторезиста был сверху, и
закрепляют фотошаблон в подвижной рамке над поверхностью подложки 14. Между подложкой и фотошаблоном
должен быть зазор для свободного перемещения рамки. Для совмещения рисунков на фотошаблоне и подложке
63
передвигают рамку с фотошаблоном в двух взаимно перпендикулярных направлениях в плоскости подложки и
поворачивают предметный столик с подложкой вокруг вертикальной оси.
Современные установки совмещения и экспонирования представляют собой сложные оптико-механические
комплексы. Точность совмещения и производительность зависят от выбранного метода совмещения - визуального или
фотоэлектрического.
В отечественных установках контактного совмещения и экспонирования (ЭМ-576, ЭМ-5006) используется
принцип контактной печати с наложением фотошаблона на подложку. При идеальной плоскостности фотошаблона и
подложки передача изображения осуществляется с минимальными искажениями при большой производительности.
После выполнения совмещения (Рисунок 7.3.8, а) подложку прижимают к фотошаблону и экспонируют слой
фоторезиста (Рисунок 7.3.8, б). Основной целью экспонирования является высокоточное воспроизведение слоем
фоторезиста всех элементов топологии полупроводниковых приборов или ИМС. Правильность экспонирования
влияет на качество переноса изображения с фотошаблона на слой фоторезиста.
Рисунок 7.3.7. Механизм совмещения микроизображений фотошаблона и подложки при контактной фотолитографии:
1 , 2 — предметный столик и его гнездо, 3 - направляющие, 4 — микрозазор, 5 — штифт, 6 — регулировочный винт, 7, 10 - диафрагмы, 8, 11 -камеры, 9 - фиксатор, 12, 13 -трубопроводы, 14 — подложка, 15 — фотошаблон, 16 — рамка
Процесс экспонирования зависит от качества фотошаблона, свойств фоторезиста и подложки, оптических явлений, происходящих в системе подложка —
фотошаблон и точности их совмещения.
При контактном экспонировании ультрафиолетовое излучение проходит через фотошаблон и попадает на слой
фоторёзиста. Следовательно, передача элементов рисунка на слое фоторезиста зависит от оптической плотности темных
и светлых участков рисунка фотошаблона, резкости и ровности их краев и коэффициента отражения
металлизированного слоя фотошаблона.
64
Рисунок 7.3.8. Схемы совмещения (а) и экспонирования (б) :
1 - предметный столик, 2 - подложка, 3 - слой фоторезиста, 4 - фотошаблон, 5 — микроскоп, 6 — затвор, 7 - конденсор, 8 источник света; z - зазор между фотошаблоном и подложкой
Важной частью установки совмещения и экспонирования является микроскоп. Отечественные установки
оснащены двупольным микроскопом с увеличением до 300 раз, в который одновременно можно наблюдать
изображение двух модулей в разных точках подложки. Этот микроскоп позволяет плавно изменять увеличение
сменой объективов.
Как уже отмечалось, совмещение и экспонирование выполняют на одной установке (Рисунок 7.3.9), при этом
подложка 9 с помощью подающей кассеты 1 перемещается по конвейеру 2 в устройство совмещения 3, где точно
ориентируется относительно фотошаблона 4 при наблюдении в микроскоп 5. После совмещения микроскоп
автоматически отводится в сторону, на его место устанавливается осветитель 6 и проводится экспонирование. Затем
подложка подается в приемную кассету 8 и по конвейеру 7 перемещается на операцию проявления.
Осветитель состоит из источника света, оптического устройства для создания равномерного светового потока и
затвора-дозатора актиничного излучения.
Рисунок 7.3.9. Установка совмещения и контактного экспонирования:
1, 8 — подающая и приемная кассеты, 2, 7 — конвейеры, 3 - устройство совмещения, 4 — фотошаблон, 5 — микроскоп, 6 —
осветитель, 9 — подложки
В качестве источника света обычно применяют ртутно-кварцевую лампу высокого давления ДРШ-350 или ДРШ-500,
создающую мощный световой поток. Излучение такой лампы лежит в основном в ультрафиолетовой области спектра (330
— 440 нм).
Оптическое устройство создает поток параллельных лучей, равномерно освещающих подложку. Разброс
освещенности в пределах рабочего поля подложки не должен превышать 5 %. ' При работе на установке необходимо
принимать меры по защите глаз от прямого попадания ультрафиолетового излучения.
Система затвор—дозатор обеспечивает точность дозы при экспонировании не хуже 5 %.
Режимы проявления слоя фоторезиста зависят от времени экспонирования. Необходимую экспозицию
устанавливают, учитывая тип и светочувствительность фоторезиста, а также толщину его слоя. Оптимальную дозу
излучения, обеспечивающую наилучшую четкость изображения, получаемого после проявления, определяют
экспериментально.
Качество изображения оценивают визуально по наиболее мелким элементам топологии или специальным
65
контрольным знакам-элементам, предусмотренным в нем. Поскольку зазор между шаблоном и подложкой, а также
освещенность распределены по рабочему полю неравномерно и носят случайный характер, качество изображения
контролируют на разных участках подложки.
Наличие зазора между фотошаблоном и подложкой вызывает дифракционные явления, что приводит к искажению
формы и размеров элементов и обусловлено проникновением света в область геометрической тени. Чтобы уменьшить
влияние дифракции при экспонировании, необходимо фотошаблон плотно прижимать к подложке для исключения зазора
между ними или сведения его к минимуму.
Важным оптическим эффектом при экспонировании является прохождение ультрафиолетового излучения через
пленку фоторезиста. Световой поток, проходя через слой фоторезиста, рассеивается в нем, а достигая подложки,
отражается от нее и возвращается обратно в слой фоторезиста. Дойдя до поверхности фотошаблона, световой поток
отражается под углом от его металлизированных непрозрачных участков и через прозрачные участки попадает в слой
фоторезиста на подложке.
Эти отражения светового потока приводят к нежелательному дополнительному экспонированию участков слоя
фоторезиста, находящегося под непрозрачными участками фотошаблона. Интенсивность отраженного потока света
зависит от коэффициентов отражения подложки и фотошаблона. Для снижения эффекта отражения при контактной
фотолитографии используют цветные оксидные фотошаблоны, имеющие малый коэффициент отражения.
О б р а б о т к а п о д л о ж е к . Заключительным этапом процесса фотолитографии является формирование топологии
рельефного рисунка на подложках в технологическом слое (маскирующей, изолирующей, защитной диэлектрической или проводящей
металлической пленке) травлением с последующими удалением слоя фоторезиста и очисткой подложек. Эти операции осуществляют
химическим жидкостным или плазменным "сухим" травлением.
В связи с тем что процессы травления являются завершающими в формировании элементов полупроводниковых
приборов и ИМС, они оказывают решающее влияние на электрические параметры этих изделий и выход годных и должны
обеспечивать:
минимальные погрешности размеров элементов рисунка и наименьшее количество дефектов;
полное удаление материала на участках, не защищенных слоем фоторезиста, а также продуктов реакции;
возможность управления режимами обработки.
Химическое жидкостное травление основано на растворении в химических реагентах не защищенных фоторезистивной маской участков
технологического слоя и состоит из следующих стадий: диффузии и адсорбции молекул травителя к поверхности подложки; химической
реакции; десорбции продуктов реакции и удаления их в раствор.
Скорость травления зависит от наиболее медленной стадии и, кроме того, определяется составом травителя, его
температурой, а также структурой технологического слоя.
Используемые химические травители должны обладать следующими свойствами:
селективностью (избирательностью), т. е. способностью активно растворять основной технологический слой, не
взаимодействуя с фоторезистивной маской и другими нижележащими слоями;
не образовывать продуктов реакции, способствующих отслаиванию фоторезиста по контуру элементов рисунка и
подтравливанию;
допускать возможность подбора оптимальной для данных условий скорости травления, обеспечивающей
минимальную плотность дефектов полученного рисунка.
Процесс химического жидкостного травления, как правило, изотропен, т. е. имеет одинаковую скорость во всех
направлениях. Участки подложки, не защищенные пленкой фоторезиста, травятся не только вглубь, но и в стороны, т.
е. происходит так называемое боковое подтравливание, что приводит к изменению линейных размеров элементов
рисунка. По боковому подтравливанию судят о качестве процесса травления и формированию клина травления.
Изменение размеров элементов рисунка не должно превышать допусков, указанных в ТУ.
При плохой адгезии слоя фоторезиста травитель может проникать под него на значительное расстояние и в этом
случае боковое подтравливание l становится недопустимо большим. При хорошей адгезии фронт бокового травления
(клин травления) имеет форму дуги (Рисунок 7.3.10, а). Клин травления зависит от скорости процесса, адгезии
защитной маски фоторезиста к подложке, толщины вытравливаемого слоя h и смачиваемости его поверхности
травителем.
В состав любого травителя, как правило, входят следующие компоненты:
окислитель — для образования оксидов на поверхности технологического слоя;
растворитель — для растворения и удаления образовавшихся оксидов;
замедлитель и ускоритель реакции.
Результатом процесса травления является полное стравливание материала на участках, не защищенных
фоторезистом. Результат травления зависит от качества сформированного защитного рельефа фоторезиста, его адгезии,
геометрических размеров элементов на фотошаблоне, клина травления. Кроме того, процесс травления, геометрические
размеры получаемых после травления элементов рисунка и клин травления определяются
66
Рисунок 7.3.10. Профили элементов рельефного рисунка после травления:
а — жидкостного, б - ионно-химического, в, г - плазмохимического; 1 — слой фоторезиста, 2 - технологический слой
типом травителя, температурой травления и толщиной травящегося материала.
Т р а в л е н и е т е х н о л о г и ч е с к и х с л о е в . Наиболее широко в процессах химического травления
при фотолитографической обработке используют травители, представляющие собой слабые кислотные растворы. В
производстве полупроводниковых приборов и ИМС большую часть фотолитографических процессов проводят на слое
диоксида и нитрида кремния.
Д л я т р а в л е н и я п л е н о к д и о к с и д а крем-ния SiO2 применяют плавиковую кислоту и травители
на ее основе. Процесс происходит по следующей реакции:
SiO 2 +4HF => SiF 4  + 2Н 2 О 
Для улучшения качества рельефного рисунка в слое SiO2 применяют так называемый "буферный" травитель с
замедляющими добавками фторида аммония NH4 F. В этом случае процесс происходит по следующей реакции:
SiO2 + 4HF + 2NH4F => (NH4)2 SiF6 + 2H2O
В типовой состав буферного травителя входят: 2 ч. 48 %-ной плавиковой кислоты, 7 ч. 40 %-ного водного
фтористого аммония и 1 ч. воды. Увеличение концентрации кислоты в травителе повышает скорость травления пленки
SiO2, но при этом ухудшается качество вытравленного рельефа. При увеличении концентрации фтористого аммония
уменьшается скорость травления и улучшается качество рельефного рисунка. Оптимальная температура травителя 20 OС.
Повышение температуры травителя увеличивает скорость травления, но ухудшает качество рельефа.
Для т р а в л е н и я
п л е н о к н и т р и д а к р е м н и я Si3N4 используют травитель на основе
ортофосфорной кислоты Н3РО4 с добавками фосфорного ангидрида Р 2О5. Оптимальная температура травителя до 180 —
200 °С. Так как при травлении при высоких температурах резко снижаются защитные свойства фоторезиста, пленку Si3N4
защищают тонким слоем SiO2 (~ 0,2 мкм). В этом случае травление сначала проводят в буферном травителе, а затем
приступают к травлению нитрида кремния, используя пленку диоксида кремния в качестве защитной маски.
Окончание процесса травления устанавливают в момент перехода вытравленной поверхности из гидрофильного
состояния в гидрофобное, т. е. когда обнажившийся кремний перестает смачиваться травителем.
При травлении пленок диоксида и нитрида кремния возможны различные виды брака, обусловленные следующими
причинами. Так, растравливание, характерным признаком которого является появление интерференционных кругов под
слоем фоторезиста вокруг вскрытых окон, вызывается нарушением межоперационного времени хранения подложек,
плохой адгезией фоторезиста к их поверхности, нарушением режимов проявления и задубливания, завышенным
временем травления, некачественным травителем.
Причинами отслаивания пленки фоторезиста при травлении могут быть его плохая адгезия к поверхности и
нарушение режима задубливания, увеличение межоперационного времени хранения подложек.
Окрашивание кремния во вскрытых окнах происходит из-за его сильного легирования и высокой
поверхностной концентрации примеси, попадания окислителей (например, HNO3) в травитель, большого разброса
толщины вытравливаемой пленки оксида. При этом на участках, где пленка оксида имеет толщину более 100 нм,
наблюдается наибольшее окрашивание. Тонкая (60 — 70 нм) пленка оксида, остающаяся в окнах, не
окрашивается, поэтому невидима, но может существенно влиять на параметры последующих диффузионных слоев. Причинами нестравливания таких пленок могут быть недостаточное время травления, а также неравномерное травление окон
в разных точках площади подложек.
При изготовлении металлизированной разводки и формирования контактных площадок фотолитографию
проводят по слою металла (алюминия, золота, молибдена, тантала, нихрома и др.) •
Д л я т р а в л е н и я п л е н о к алюминия применяют как кислотные, так и щелочные травители. Однако
67
из-за плохой адгезии фоторезиста к пленке алюминия вследствие значительного изменения его угла смачивания (от 20 до
80 °) травитель выбирают в соответствии с типом применяемого при фотолитографии фоторезиста. Так, для травления
масок негативных фоторезистов используют 20 %-ный раствор КОН или NaOH. При температуре 60 — 90 ° С
травление происходит с выделением пузырьков водорода, что вызывает неровности контура рельефа до 0,5 — 1 мкм.
Процесс протекает по следующей реакции:
2Аl + 2NaOH + 6Н2 О -> 2Na [Аl(ОН)4] + ЗН2 
При использовании в качестве масок позитивных фоторезистов для травления алюминия используют травители на
основе ортофосфорной кислоты. Процесс протекает по следующей реакции:
2Аl + 6Н3РО4 -> 2Аl(Н2РО4)3 + 3H2 
Более часто применяют травитель, состоящий из смеси ортофосфорной, азотной, уксусной кислот и воды.
Травление в кислотных травителях идет при температуре около 40 "С и сопровождается бурным
газовыделением, что также приводит к неровностям контура рельефа.
Наилучшее качество травления получают, используя травитель на основе хромового ангидрида Сг 2О3, фторида
аммония NH4F и воды. При комнатной температуре скорость травления составляет 0,7 мкм/мин. Кроме того, применяют
травитель, состоящий из хромового ангидрида Сг 2О3, фторида аммония NH4F, ацетата кадмия Cd(CH3COOH)2,
водорастворимого крахмала и воды. При использовании этого травителя не требуется нагрев, отсутствует
газовыделение и неровность контура рельефа не превышает 0,3 мкм.
При травлении пленок алюминия возможен такой брак, как изменение (уменьшение) линейных размеров
элементов, что может быть вызвано следующими причинами: применением некачественного фоторезиста; нарушением
режима его задубливания или плохой адгезией к алюминию; увеличением межоперационного времени хранения;
неправильным соотношением компонентов в травителе; превышением температуры и времени травления;
изменением размеров элементов рисунка после проявления.
До обработки партии подложек проводят травление контрольной подложки. При несоответствии размеров
элементов рисунка заданным необходимо прежде всего проверить режим задубливания, качество проявленного
рельефа, температуру и состав травителя.
Иногда пленки алюминия при травлении окисляются и темнеют их отдельные участки, что можно объяснить
электрохимическими процессами, происходящими в системе Al — Si — р-n-переход — травитель. Для устранения этого
явления обратную и боковые стороны подложек покрывают фоторезистом, чтобы изолировать их от травителя.
Остатки невытравленного алюминия в виде перемычек могут привести к замыканию параллельных
проводников одного уровня. Причинами этого могут быть: некачественное проявление (недопроявление) слоя
фоторезиста; нарушение режимов его задубливания (заплывание фоторезиста при повышенной температуре
задубливания); дефекты в фотошаблоне. Последующим дотравливанием, как правило, не удается ликвидировать эти
перемычки. Поэтому такой брак можно устранить только повторной фотолитографией.
Д л я т р а в л е н и я п л е н о к з о л о т а применяют смесь концентрированных соляной НС1 и азотной
NHO3 кислот в соотношении 3 : 1 (царскую водку), а также травитель, состоящий из йодистого калия KI, иода I2 и воды
в соотношении 4 : 1 : 1.
Для т р а в л е н и я п л е н о к с е р е б р а используют травитель, в который входят нитрат железа Fe
(NO3) 2, раствор йодистого калия KI и иода I2 в воде.
Д л я т р а в л е н и я п л е н о к м о л и б д е н а применяют состав из ферроцианида калия, серной и азотной
кислот либо смесь ортофосфорной, азотной и уксусной кислот.
Д л я т р а в л е н и я п л е н о к т а н т а л а используют смесь нитрата железа, концентрированной
плавиковой и азотной кислот, а п л е н к и н и х р о м а травят в соляной кислоте.
В полупроводниковых ИМС высокой степени интеграции электрические соединения часто выполняют в виде
многослойн о й м е т а л л и з а ц и и — д в о й н ы х п р о в о д я щ и х c л о е в (например, молибден — золото, титан алюминий). В этом случае рельеф вытравливают с помощью селективных тра-вителей последовательно в двух
различных составах: в первом вытравливают пленку верхнего слоя, а во втором — нижнего.
Так, для получения рельефа в двухслойной системе молибден — золото; в которой нижний молибденный слой
имеет толщину 0,2 мкм, а верхний — слой золота — 0,4 мкм, подложки последовательно обрабатывают в травителях
для золота и молибдена. В травитель для золота входят этиленгликоль, йодистый калий, иод и вода, а в травитель для
молибдена -этиленгликоль, азотная кислота и хлорное железо.
Основными параметрами режима травления, от которых зависят как его скорость, так и воспроизводимость размеров получаемых
рельефов, являются время травления, температура и концентрация травителя. Так, с повышением концентрации травильной смеси и
Температуры скорость травления растет. Увеличение времени травления приводит к боковому подтравливанию рисунка, причиной
которого может быть также рост температуры травителя.
У д а л е н и е с л о я ф о т о р е з и с т а . Для удаления фоторезистивной маски подложки обрабатывают в
горячих органических растворителях (диметилформамиде, метилэтилкетоне, моноэтаноламине и др.) . При этом слой
фоторезиста разбухает и вымывается. Скорость и чистота удаления фоторезиста зависят от степени его, задубливания при
второй термообработке.
При высоких температурах задубливания (более 140 -150 °С) в слое фоторезиста происходят термореактивные
превращения, в результате которых он теряет способность растворяться в органических растворителях. В этом случае
подложки два-три раза кипятят по 5 — 10 мин в концентрированной серной, азотной кислоте или смеси Каро (серная
кислота и перекись водорода). Слой фоторезиста при этом разлагается и растворяется в кислоте, а затем его окончательно
удаляют в органическом растворителе. Кислотное удаление фоторезиста нельзя применять при фотолитографии по
68
металлу.
Некоторые фоторезисты хорошо удаляются в водных растворах поверхностно-активных веществ, например
кипячением 5 — 10 мин в 30 %-ном растворе синтанола.
Интенсивность удаления слоя фоторезиста можно увеличить ультразвуковым воздействием. Для этого ванночку
с подложками, заполненную реагентом, помещают в ультразвуковую ванну с деионизованной водой. Время обработки
при этом уменьшается в 10 - 20 раз.
Для удаления позитивных фоторезистов, температура сушки которых не превышает 95 °С, подложки
предварительно облучают ультрафиолетовым светом. При этом ортонафтохинондиазиды превращаются в
инденкарбоновые кислоты, которые легко удаляются в органических растворителях.
После химического удаления слоя фоторезиста подложки тщательно очищают от его остатков, которые могут
отрицательно сказаться на таких последующих технологических операциях, как диффузия, окисление, нанесение
металлизации и др. Кроме того, необходимо качественно очищать поверхность подложек от загрязнений, вносимых при
фотолитографии.
Химическую обработку проводят на установках, входящих в комплекс универсального оборудования,
предназначенного для очистки подложек перед первым окислением, травления оксидных металлических и
полупроводниковых пленок, а также удаления слоя фоторезиста и последующей гидромеханической отмывки подложек
деионизованной водой. Все эти операции проводят во фторопластовых ваннах, снабженных нагревателями и эжекторами
для откачки реагентов после окончания технологического процесса.
Несмотря на широкое использование, химические жидкостные методы обработки (травление технологических
слоев и удаление фоторезиста) имеют ряд недостатков, основными из которых являются невысокая разрешающая
способность и изотропность процессов травления, трудность их автоматизации и- появление загрязнений на
поверхности подложек, что ограничивает возможности фотолитографии.
7.4 Проекционная ФЛГ
При проекционной литографии изображение с фотошаблона переносится (проецируется) на полупроводниковую подложку с
помощью оптических систем — проекционных объективов. Разрешающая способность проекционной фотолитографии 0,6 — 0,8 мкм.
69
Рисунок 7.4.1. Схема установки проекционной фотолитографии без изменения масштаба переноса изображения:
1, 15 - метки координат х, у и углового разворота на подложке, 2 - проекционный объектив, 3 - фотошаблон, 4, 12 метки углового разворота и координат х, у на фотошаблоне, 5, 11 - приводы углового разворота и перемещения
фотошаблона, 6, 10 - фотоэлектрические микроскопы углового разворота и координат х, у фотошаблона, 7 - блок
программного управления, 8 - источник освещения для экспонирования, 9 — высокоскоростной затвор, 13 полупроводниковая подложка, 14 - предметный столик
Метод проекционной фотолитографии имеет несколько вариантов, которые отличаются масштабами
переноса изображения и способами заполнения рабочего поля подложки.
Так, при масштабе 1 : 1 изображение с фотошаблона переносится с помощью проекционной системы на подложку
без изменения размеров элементов (Рисунок 7.4.1). Экспонирование может осуществляться сразу всего рабочего поля
подложки или последовательным его сканированием.
При проекционной фотолитографии с уменьшением масштаба (обычно 10 : 1 или 5 : 1) единичное изображение
переносится с фотошаблона на рабочее поле подложки последовательной мультипликацией.
При проекционной фотолитографии, как и при контактной, необходимо точно совмещать фотошаблон с
подложкой, для чего служат специальные фигуры —метки совмещения.
В проекционных системах операция совмещения, как правило, выполняется автоматически с помощью
фотоэлектрического микроскопа, который регистрирует сигнал, поступающий от метки совмещения на подложке, и
сравнивает его с сигналом, поступающим от такой же метки на фотошаблоне. Для совмещения меток координатная
система перемещает подложку и фотошаблон, а также поворачивает фотошаблон относительно оси проекции.
При совмещении меток сигналы равны, а при их смещении возникает разностный сигнал, который поступает в
исполнительный механизм системы совмещения, обеспечивающий взаимные перемещения фотошаблона и подложки.
Для совмещения элементов изображений на подложку наносят две группы меток совмещения (Рисунок 7.4.2),
одна из которых х и у 1 определяет взаимное положение фотошаблона и подложки по координатам, а вторая уг служит
для коррекции угловой ошибки разворота </> фотошаблона относительно координатных осей подложки. Из Рисунок 7.4.2
видно, что метки на подложке как бы рассматриваются фотоэлектрическим микроскопом через соответствующие окна
в фотошаблоне. Положению точного совмещения соответствует симметричное расположение всех меток на подложке
относительно окон на фотошаблоне.
70
Рисунок 7.4.2. Метки автоматического совмещения:
1, 4, 6 — метки х, у 1 и уг на подложке 1,3, 5 — считывающие окна
Процесс совмещения начинается с "захвата" меток системой наблюдения фотоэлектрического микроскопа, при
котором их изображение на подложке попадает в окна фотошаблона и возникает разностный сигнал в каналах
совмещения. При этом система совмещения предварительно совмещает фотошаблон и подложку по координатам в
соответствии с метками, а затем, выполняя угловую коррекцию по метке у2, поворачивает фотошаблон относительно
меток х и у1.
Наиболее совершенным и перспективным вариантом метода проекционной фотолитографии является помодульный
перенос изображения на подложки с промежуточных фотошаблонов (Рисунок 7.4.3). Совмещение модулей проводится
по меткам, предварительно нанесенным на подложку, что обеспечивает высокую точность (ошибка совмещения не
превышает 0,1 — 0,2 мкм). При помодульном совмещении уменьшается влияние изменения температуры и
геометрических искажений подложки на точность передаваемого изображения.
Помодульный перенос изображения наряду с повышением точности передаваемого рисунка элементов обеспечивает
снижение плотности дефектов, вносимых в формируемую на слое фоторезиста маску. Это в первую очередь
обусловлено тем, что исключается контакт фотошаблона с подложкой. Кроме того, дефекты и загрязнения,
значительно меньшие элементов изображения, не переносятся с промежуточного фотошаблона на слой фоторезиста,
как это происходит при переносе изображения с сохранением масштаба.
Основной задачей проекционной фотолитографии является обеспечение автоматического совмещения, которое осуществляется
нанесением специальных меток совмещения на подложки.
Рисунок 7.4.3. Схема установки мультипликации с совмещением:
1, 15 - приводы стола по осям х и у, 2, 14 - лазерные интерферометры по осям х и у, 3 - координатный стол, 4, 5 — полупроводниковая подложка и упоры для ее ориентации, 6 -система фокусировки, 7 - проекционный объектив, 8 -столик с
промежуточным фотошаблоном, 9 - источник света, 10 - затвор, 11 - актиничное излучение, 12 - устройство совмещения меток
промежуточного фотошаблона и подложки, 13 - управляющая ЭВМ
71
Обычно эти метки имеют форму в виде канавок травления, на которых рассеивается (поглощается) падающий
пучок света и создается их оптический контраст по отношению к окружающему полю.
Если исходная полупроводниковая подложка ориентирована в плоскости (100), метки в виде V-образных
канавок (Рисунок 7.4.4, а) получают селективным травлением кремния в 5 %-ном растворе КОН через маску
диоксида кремния. При травлении канавка ограняется плоскостями (111), которые го сравнению с другими
кристаллографическими плоскостям обладают очень малой скоростью травления. При другой ориентации
полупроводниковой подложки, например (111), мета совмещения заданного профиля (Рисунок 7.4.4, б) получают плазме
химическим травлением при специальных режимах.
Сохранение во всем цикле создания ИМС оптического контраст метки, определяемого в основном ее формой, является важнейшей
задачей проекционной фотолитографии.
Рисунок 7.4.4. Метки совмещения:
а - V-образная, б - бочкообразная; I, II - области рассеяния и отражения пучка света
После завершения операции совмещения выполняются ав тофокусировка, а также экспонирование, при котором
открыва ется затвор и изображение с промежуточного фотошаблона чере: проекционный объектив переносится на слой
фоторезист; полупроводниковой подложки. Затем координатный стол i полупроводниковой подложкой перемещается в
новое положе ние на шаг по оси х или у и начинается новый цикл.
При работе установки по программе, введенной в блоь управления ЭВМ, осуществляется "опрос" всех меток совме
щения на полупроводниковой подложке и впечатывание изоб ражения единичного модуля, т. е. его размножение — мульти
гашкация по рабочему полю.
Достоинство проекционной литографии по сравнению с контакт ной состоит прежде всего в том, что исключается контакт
фотошаблон: и полупроводниковой подложки, приводящий к образованию в них де фектов, кроме того, обеспечивается более низкая плотность
дефекте! в формируемой маске фоторезиста.
В современной проекционной фотолитографии используют ся оптические системы, работающие в условиях
дифракцион ных ограничений. Это означает, что конструкция и технологи* изготовления проекционных объективов
настолько совершен ны, что их характеристики (разрешающая способность, точ ность воспроизведения размеров
элементов) в основном опре-деляются дифракционными эффектами, обусловленными значениями апертур, а не
аберрациями.
Важнейшим параметром, характеризующим фотолитографи ческие характеристики проекционного объектива,
является числовая апертура NA = л sin а (где п — коэффициент преломления среды в пространстве изображения; в
воздухе и — 1; а — половина максимального угла расходимости лучей, приходящих в точку изображения на оптической
оси проекционной системы).
Для устранения хроматических аберраций используют мощный источник монохроматического
актиничного света, в качестве которого может служить ртутная лампа сверхвысокого давления ДРШ-350 или ДРШ-500
(буквы обозначают Д -дуговая, Р - ртутная, Ш — шаровая, а цифры указывают номинальную электрическую мощность).
Создают монохроматическое излучение с помощью специальных монохроматических полосовых фильтров и
избирательно отражающих зеркал.
Схема осветительной системы проекционной установки показана на рисунке 7.4.5. Сотовый конденсор 4
значительно увеличивает равномерность освещенности по полю, так как каждая его линзочка проецирует попадающий
на нее световой поток на все поле засветки. Таким образом неравномерный световой поток от лампы усредняется и
выравнивается. Зеркало 5 е селективно отражающим покрытием пропускает тепловые лучи, но эффективно отражает
ультрафиолетовое излучение, что способствует защите проекционной системы от мощного теплового потока,
выделяемого лампой.
В условиях монохроматического и когерентного освещения разрешающая способность проекционной системы
6 min = 31 X/(2NA), где X - длина волны актиничного излучения. Из этой формулы видно, что чем меньше длина
волны актиничного излучения и больше числовая апертура объектива, тем выше его разрешающая способность, т. е.
меньше размер передаваемого элемента изображения.
Существует еще один параметр проекционной системы — ее глубина резкости. Для компенсации аберраций
оптической системы, искривления поверхности полупроводниковых подложек и изменения толщины слоя фоторезиста на
72
их поверхности из-за сформированного технологического рельефа необходима вполне определенная (по возможности
наибольшая) глубина резкости 5 = X/ [2(NA)2] . Из этой формулы видно, что чем больше числовая апертура, а это
необходимо для увеличения разрешающей способности проекционной системы, тем меньше ее глубина резкости.
Рисунок 7.4.5. Схема осветительной системы проекционной установки:
1 - эллиптический отражатель, 2 - источник УФ-излучения, 3 - защитное стекло, 4 — сотовый конденсор типа "мушиный
глаз", 5 - селективно отражающее зеркало, 6 - полосовой фильтр, 7 - конденсорная линза
Неправильная фокусировка может существенно влиять на качество передачи изображения проекционным методом.
Поэтому проекционные установки снабжают высокоточными устройствами автофокусировки с точностью установки
фокусного расстояния не хуже ± 0,2 мкм.
Правильная фокусировка, а также точная доза экспозиции - обязательные условия прецизионного переноса
изображения на слой фоторезиста при проекционной фотолитографии.
Таким образом, видно, что необходим компромисс между разрешающей способностью, глубиной резкости, полем
изображения и выбором числовой апертуры объектива.
7.5 Электрополитография
73
Электронолитография основана на непосредственном создании или проекционном переносе изображения с помощью пучка
электронов.
Этот метод наиболее перспективен для формирования элементов изображения, размеры которых составляют менее
микрометра, и имеет несколько существенных отличий от фотолитографии.
Электронный пучок, ускоренный электрическим полем при разности потенциалов U (В), характеризуется длиной
волны (нм) актиничного излучения
  0,1 150 / U (7.5.1)
Так, при разности потенциалов 15 000 В длина волны составит 0,01 нм, что более чем в десять тысяч раз меньше
длины волны актиничного излучения, используемого в фотолитографии. Следовательно, даже при формировании
элементов размером менее 1 мкм дифракционные явления не будет оказывать существенного влияния.
Энергия кванта светаЕ у = hcfh, а при прохождении ускоряющей разности потенциалов U энергия электрона
Е е = т е с + е U (7.5.2)
где h =6,62 • 10 -34 Вт • с - постоянная Планка; т = 9,1 х 10 -28 г - масса электрона; с = 3 • 10 8 м/с —
скорость света в вакууме; е = 1 , 6 •1 0 - 1 9 К л - заряд электрона.
Таким образом, при λ = 0,4 мкм энергия кванта света Еу = = 5 • 10"19 Дж, а при U=15 000 В энергия электрона Ее =
8,33 х10 -14 Дж.
Столь высокая энергия актиничного излучения (в сто тысяч раз большая энергии кванта света) позволяет применять
в электронолитографии специальные чувствительные полимерные составы, называемые электронорезистами.
Электронорезисты характеризуются коэффициентом чувствительности, который определяется зарядом, образующимся
при их экспонировании пучком электронов на единицу площади (Кл/см 2).
Сравнительные характеристики фото- и электронорезистов при экспонировании их электронным пучком
приведены В таблице 4.
Характеристики экспонирования резистов
Актиночувствительная
композиция
Фоторезисты:
позитивные
негативные
Электронорезисты на
основе:
метакрилатов
силиконов
Т а б л и ц а 7.5.1.
Разрешающая способ- Коэффициент чувстность, линий/мм
вительности при U=
= 15 000 В, Кл/см2
600
300
6 • 10-4
(5…8) • 10-5
1000
1250
10-s - 5 • 10-6
10 -s -10 -6
При экспонировании электронорезиста происходит рассеяние электронов пучка на ядрах его атомов и орбитальных
электронах. Так как толщина слоя электронорезиста обычно мала (0,3 - 1,0 мкм), пучок электронов проходит через
него и рассеивается в нижележащем слое и подложке (Рисунок 7.5.1). При этом наблюдается прямое и обратное
рассеяние электронов, суммарное действие которого расширяет область экспонирования по сравнению с первичным
пучком. Так, при диаметре пучка d 0 = 50 нм, толщине слоя электронорезиста 0,5 мкм и энергии 20 кэВ диаметр
рассеянного пучка электронов будет равен 200 нм. Естественно, что чем тоньше слой электронорезиста, тем больше его
разрешающая способность.
При попадании электронного пучка в тонкий полимерный слой электроны при упругих и неупругих столкновениях
теряют свою энергию. Эти процессы и называют рассеянием электронов. При таком рассеянии возникает поперечный
поток электронов в направлении, перпендикулярном направлению падающего пучка. При прохождении пучка электронов в
подложку в ней также происходят рассеяние и отражение электронов (обратное рассеяние).
Характеристики рассеяния зависят от энергии, тока и геометрии электронного пучка, толщины слоя
электронорезиста и материала подложки. Обратное рассеяние оказывает влияние на экспонирование электронорезиста.
Поэтому участки слоя электронорезиста, нанесенные на различные по составу
слои, получают
разные
дозы
облучения и будут проявляться по-разному.
Характеристиками рассеяния электронов в слое электронорезиста определяется форма клина проявления, которая зависит также от
энергии воздействующих электронов, типа электронорезиста (позитивный или негативный), его толщины и состава слоев осажденных на
подложку.
74
Рисунок 7.5.1. Рассеяние пучка электронов в слое электронорезиста и подложке:
1 — первичный пучок электронов, 2 - слой электронорезиста, 3 - подложка, 4 — область прямого и обратного рассеяния
электронов
Рисунок 7.5.2. Формы клина проявления на тонком слое позитивного электронорезиста (а) и на толстых слоях позитивного (б) и
негативного (в):
1 — пучок электронов, 2 - слой электронорезиста, 3 — подложка, 4 - область рассеяния и поглощения электронов, 5 клин проявления
Формы клипа проявления электронорезиста в зависимости от толщины его слоя показаны на рисунке 7.5.2, а
- в. Если пучок 1 электронов проходит через слой 2 злектронорезиста и не успевает рассеяться, так как его толщина
мала, а энергия электронов велика, формы клина 5 проявления близка к вертикальной (Рисунок 7.5.2, а). Когда
рассеяние электронов происходит в основном в объеме слоя электронорезиста большой толщины, после проявления
75
форма его клина повторяет форму и области рассеяния электронов (Рисунок 7.5.2, б, в). На позитивных
электронорезистах в этом случае получают "отрицательный" клин проявления, а на негативных — "тянутый".
Рассеяние электронов в слое электронорезиста влияет не только на форму клина проявления, но и существенным
образом определяет возможность формирования малых элементов изображения. Так, на рисунке 7.5.1 была пунктиром
показана граница области проявления при экспонировании электронным пучком. На самом деле область рассеяния
электронов намного больше. Если элементы изображения лежат в непосредственной близости друг к другу, то дозы,
полученные от рассеянных при экспонировании электронов, складываются и могут вызвать существенное искажение
геометрии элементов после проявления.
Рисунок 7.5.3. Проявление "эффекта близости" при экспонировании в случаях отсутствия (а) и наличия (б) рассеяния
электронов:
1 — распределение первичного пучка электронов, 2 — уровень облучения, необходимый для полного проявления, 3 —
полученное изображение, 4 — реальное (рассеянное) распределение электронов при экспонировании, 5 - суммарное распределение
дозы облучения с учетом рассеяния (эффект близости)
Рассмотрим, как "хвосты" рассеяния от экспонированных областей складываются и приводят к проявлению
областей, в которые не проходило прямое экспонирование электронным пучком, но которые получили суммарную
дозу, достаточную для их проявления. При малом рассеянии (тонкий слой электро-норезиста — Рисунок 7.5.3, а) область
проявления соответствует области экспонирования, поэтому можно получить изображение малых экспонируемых
областей d при их близком взаимном расположении. Сильное рассеяние электронов (Рисунок 7.5.3, б) искажает не
только размеры проявленных областей, но и вызывает взаимное влияние близко расположенных элементов изображения. Такое влияние называют эффектом близости.
Эффект близости является самым значительным ограничением в электронолитографии по точности переноса
изображения и формирования элементов малых размеров. На рисунке 7.5.4, а, б показано, как исходная геометрия
элементов искажается из-за эффекта близости. Причем геометрия элементов может настолько исказиться, что
произойдет полное их слияние.
Уменьшением дозы экспонирования площади элемента искажения устранить нельзя, так как это приведет к его
недопроявлению. Поэтому для компенсации эффекта близости предварительно устанавливают определенную дозу
экспонирования и выбирают необходимую геометрию элементов изображения. Только так удается избежать влияния
эффекта близости.
В электронолитографии применяют два способа непосредственного формирования элементов изображения на полупроводниковых подложках: последовательной экспозицией тонким сфокусированным электронным пучком круглого сечения (сканирующий способ) и последовательным экспонированием прямоугольным пучком переменного сечения (электронно-наборный способ). Оба эти способа отличаются только
операцией экспонирования.
По сравнению с фотолитографией электронолитография обладает следующими преимуществами:
Рисунок 7.5.4. Перенос изображения элементов без влияния "эффекта близости" (д) и искажение их геометрии под его влиянием (б):
1 — смыкание элементов, 2, 3 - допустимое и не допустимое частичное искажение формы элементов
76
Рисунок 7.5.5. Структурная схема электронно-лучевой установки экспонирования :
1 — электронно-оптическая система, 2 - электронная пушка, 3, 7 — формирующая первичный электронный пучок и промежуточная
диафрагмы, 4 - магнитная линза, формирующая электронный пучок, 5 -отклоняющая пластина, б, 8 - промежуточная фокусирующая и
уменьшающая магнитные линзы, 9 - система электродов отклонения и отключения электронного пучка, 10 - магнитная система
отклонения электронного пучка, 11 - проекционная магнитная линза, 12 -экспонируемая подложка, 13 - координатный стол, 14 —
шлюзовая система загрузки и смены подложек, 15 - вакуумная система с безмасляными средствами откачки, 16 - система привода
координатного стола, 17 - блок контроля и управления вакуумной системы, 18 - система управления координатным столом и
загрузкой - выгрузкой подложек, 19 - блок питания и контроля магнитной системы, 20, 25 -блок и система контроля электроннооптической системы, 21 - высоковольтный блок, 22 — буферное быстродействующее запоминающее устройство, 23 - арифметический
блок расчета коррекций, 24 -система контроля изображения и совмещения, 26 - интерфейс, 27 — быстродействующая суперЭВМ, 28 магнитная лента с топологической информацией, 29 - система управления
в о - п е р в ы х , имеет принципиально большую разрешающую способность, обусловленную малым влиянием
дифракционных явлений;
в о - в т о р ы х , пучок электронов можно отклонять и запирать с большими скоростями с помощью
электрических или магнитных полей и управлять им по программе, заложенной в ЭВМ;
в - т р е т ь и х , электронный пучок можно фокусировать с помощью магнитных линз в тонкий луч однородной
плотности или формировать с помощью диафрагм в прямоугольный пучок переменного сечения;
в - ч е т в е р т ы х , глубина резкости электронно-оптических систем значительно больше, чем оптических
проекционных, что существенно снижает требования к геометрии полупроводниковых подложек;
в - п я т ы х , так как электронно-лучевые системы размещаются в вакуумной рабочей камере, подложки в
процессе экспонирования не загрязняются.
77
7.6 Рентгенолитиграфия
При рентгенолитографии изображение на полупроводниковую подложку "переносится с шаблона, называемого рентгеношаблоном,
с помощью мягкого рентгеновского излучения, длина волны которого λ = 0,5…2 нм. Разрешающая способность
рентгенолитографии 0,2 - 0,3 мкм.
В настоящее время рентгенолитография не нашла широкого применения в серийном производстве
полупроводниковых приборов и ИМС из-за сложности технологии и используемого оборудования. Для реализации
рентгенолитографии необходимы:
мощный источник рентгеновского излучения с малой расходимостью пучка;
рентгеношаблоны, обладающие высокой прочностью, контрастностью и малым температурным коэффициентом
линейного расширения;
рентгенорезисты высокой разрешающей способности и чувствительности;
системы мультипликации изображения, погрешность совмещения которых не превышает 0,03 - 0,05 мкм.
Упрощенная схема экспонирования при рентгенолитографии показана на рисунке 7.6.1.
При рентгенолитографии используют два способа переноса изображения с рентгеношаблона на рабочую площадь
подложек: полностью и мультипликацией.
В обоих случаях совмещение выполняют по специальным меткам на рентгеношаблоне и подложках при освещении
монохроматическим излучением видимого диапазона, а экспонирование — рентгеновским.
Рисунок 7.6.1. Схема экспонирования рентгенолитографии:
1 — поток рентгеновских лучей, 2 - канал совмещения, 3 — опорная рамка рентгеношаблона, 4 — область экспонирования (окно в
опорной рамке), 5 -рисунок на слое, непрозрачном для рентгеновских лучей, б — окно для совмещения рентгеношаблона и подложки, 7 пленка, несущая рисунок и прозрачная для рентгеновских лучей, 8 — метка совмещения на подложке, 9 — слой рент-генорезиста, 10 —
подложка
Плотность потока рентгеновский лучей, падающих на подложку, обратно пропорциональна расстоянию от их
источника. Поэтому это расстояние, чтобы уменьшить время экспонирования, с одной стороны, должно быть
небольшим, а с другой, для уменьшения размытости изображения из-за расходимости рентгеновского луча — большим.
Кроме того, необходимо устанавливать с высокой точностью (не хуже 0,5 мкм) зазор между поверхностями
рентгеношаблона и подложки, для чего их закрепляют в специальном устройстве.
Как известно, при облучении поверхности потоком ускоренных электронов она излучает рентгеновские лучи.
Для создания высокоинтенсивного потока рентгеновского излучения необходимо использовать электронные пучки
высокой плотности тока. В качестве материалов, используемых для изготовления мишеней, способных излучать
рентгеновские лучи требуемых длин волн, обычно служат Сu, Al, Mo, Pd.
ОСНОВНОЙ характеристикой источника рентгеновского излучения является длина волны и способность материала
мишени выдерживать электронный поток высокой интенсивности. Мишень при облучении мощными потока электронов
сильно нагревается, плавится и испаряется, поэтому отвод теплоты является основной задачей при создании
высокоинтенсивных источников излучения. Так как электроны и рентгеновские лучи достаточно легко рассеиваются в
воздухе, необходимо рентгеновский источник помещать в высокий вакуум. По этой же причине систему совмещения и
экспонирования также располагают в низковакуумной рабочей камере или заполняют камеру гелием.
Высоковакуумная часть установки рентгенолитографии отделяется от низковакуумной вакуумно-плотным
окном, прозрачным для мягкого рентгеновского излучения. Этим требованиям отвечают окна из бериллия или прочных
органических пленок толщиной до 7 — 8 мкм, которые, кроме того, обладают незначительным поглощением
рентгеновского излучения и обеспечивают надежную изоляцию объемов источника и рабочей камеры.
В настоящее время в рентгенолитографии используют точечные источники мягкого рентгеновского излучения, в
которых электронный пучок фокусируется на вращающейся с большой частотой (10 000 об/мин и более) мишени,
охлаждаемой проточной водой.
78
Наиболее перспективным источником рентгеновского излучения является синхротронное излучение, создаваемое
ускорителем электронов в магнитном поле при движении их по криволинейным траекториям. Синхротронное излучение
имеет непрерывный спектр, максимум которого при достаточно большой энергии (до 1 ГэВ) приходится на область
мягкого рентгеновского излучения.
Использование синхротронного излучения в рентгенолитографии обусловлено его сильной природной
коллимацией, т. е. малой расходимостью потока. В каждой точке криволинейного участка орбиты поток синхротронного
излучения сосредоточен в пределах очень узкого конуса с углом вертикальной расходимости порядка нескольких
угловых секунд. В результате этого при экспонировании геометрические искажения переносимого изображения
оказываются незначительными.
Синхротронное излучение обладает высокой интенсивностью и превосходит в тысячи раз рентгеновское излучение, создаваемое мишенями. Благодаря этому экспонирование синхротронным излучением составляет единицы секунд, что обеспечивает высокую производительность
рентгенолитографии.
Стоимость синхротронов очень велика, поэтому необходимо использовать их на множество каналов
экспонирования.
Следует отметить, что при использовании синхротронного излучения плоскости рентгеношаблона и подложки
должны располагаться по вертикали. Это надо учитывать при проектировании установок совмещения и мультипликации,
так как подложки должны точно перемещаться в вертикальной плоскости.
Рентгенорезисты не являются особым классом органических соединений и не отличаются по механизму работы от
электронорезистов. Особенность состоит лишь в том, что поглощение слоем резиста рентгеновского излучения меньше,
чем электронного, поэтому и эффективность экспонирования рентгеновски ми лучами ниже. В результате поглощения
кванта энергии рент геновского излучения в резисте возникают фотоэлектроны которые, взаимодействуя с полимерной
основой позитивны: или негативных резйстов, приводят к ее деструкции или объем ной полимеризации.
Кроме того, следует учитывать, что в результате поглощения рентгеновского излучения подложка также излучает
электроны, которые производят дополнительное экспонирование. Именно вторичное электронное излучение ограничивает
разрушающую способность рентгенолитографии.
Важной проблемой рентгенолитографии является разработка технологии изготовления рентгеношаблонов, которые должны отвечал
определенным требованиям. Маска рентгеношаблона, нанесенная на тон кую мембрану, должна хорошо поглощать рентгеновское излучение а
мембрана должна обладать малым коэффициентом поглощения, достаточной механической прочностью и не давать усадок и искажение при
изменении внешних условий.
Исходя из этих требований, маски формируют в виде тонких пленок Аu, Pt, W, Mo, а мембраны изготовляют в
виде тонких слоев Be, Si, SiO2, Si3N4, A12O3, их сочетаний или специальных безусадочных полимерных пленок.
Как правило, рентгеношаблоны выполняют на жестком каркасе (обычно — это селективно вытравленные
кремниевые подложки), на который наносят мембрану. Изображения элементов на рентгеношаблоне создают
электронолитографией.
При рентгенолитографии следует учитывать также радиационные дефекты, которые возникают как в
экспонируемых Полупроводниковых подложках, так и в рентгеношаблонах. Дефекты, возникающие в формируемых в
подложках транзисторных структурах, устраняют термическим отжигом. Высокие дозы рентгеновского излучения
приводят к тому, что сроки использования рентгеношаблонов невелики.
Одним из достоинств рентгенолитографии является возможность получения структур субмикронных размеров с
низким уровнем дефектности. Это объясняется тем, что загрязняющие частицы, как правило органические, существенно
не ослабляют рентгеновское излучение при экспонировании, вследствие чего дефекты рентгеношаблона не переносятся на
слой рентгенорезиста на подложке.
Рентгенолитографию следует рассматривать как один из наиболее перспективных методов литографии при
изготовлении сверхбыстродействующих полупроводниковых приборов и ИМС.
7.7 Ионнолитография
79
При ионолитографии сохраняются принципы формирования изображения элементов, применяемые при электронно-лучевой литографии, но вместо
пучка электронов используется ионный пучок. Разрешающая способность ионолитографии 0,1 - 0,2 мкм.
Ионолитография обладает рядом достоинств, которые обусловлены особенностями взаимодействия ионов с
материалом резиста.
П е р в о е д о с т о и н с т в о состоит в том, что ионы, обладая значительно большей массой, чем электроны,
активно взаимодействуют с материалом резиста, следовательно, больше тормозятся и имеют малый пробег, а значит и
меньше, чем электроны, рассеиваются. Таким образом, эффект близости при ионолитографии проявляется
незначительно, что обусловливает ее высокую разрешающую способность.
В т о р о е д о с т о и н с т в о связано с сильным поглощением ионов, поэтому перенос изображения можно
проводить при меньших, чем при электронолитографии, дозах.
Кроме того, пучком ионов можно непосредственно локально легировать структуру ИМС, т. е. формировать им
соответствующие структурные области (базы, эмиттеры, стоки, истоки и др.). При этом пользуются узким
прямоугольным пучком переменной формы, которым непосредственно сканируют соответствующие области или
обрабатывают их широким пучком через трафаретный шаблон. Остальные элементы этих установок такие же, как в
установках электронно-лучевой литографии (см. §24).
Таким образом, при формировании структур ИМС узким пучком процесс литографии в обычном понимании
заменяется процессом размерного легирования, называемым имплантографией.
Основными элементами установок ионолитографии, создание которых вызывает наибольшие трудности,
являются источники ионов и системы фокусировки и развертки ионных пучков.
Источник ионов должен обеспечивать формирование ионного пучка необходимой энергии и высокой плотности
тока. Энергией ионов, как и при ионном легировании, определяется глубина их проникновения в подложки.
В настоящее время развиваются два направления разработки мощных ионных источников: с ионизацией паров
жидких металлов или газа в сильном электрическом поле. Ионизация в этих источниках происходит вблизи острия
электрода, на который подается потенциал. При напряженности электрического поля до 106 — 107 В/см в него
вводится капля расплавленного металла на подогреваемом электроде или газ. В сильном поле ионы вырываются из
жидкометаллической фазы или газ, находящийся вблизи острия, притягивается к нему и ионизируется. Образовавшиеся
ионы вытягиваются из области ионизации системой электродов и ускоряются до заданной энергии.
В последнее время созданы и исследуются возможности применения источников ионов Н, Не, Ar, Ga, Аu, In, Si, Al,
Ge. Можно предполагать, что процессы имплантографии займут ведущее место в автоматизированных технологических
системах создания СБИС.
8 Сборочные процессы в технологии ИЭОТ
80
Контроль параметров кристаллов на пластине и плат на подложке на функционирование. Маркировка
брака. Методы разделения пластин и подложек. Лазерное и «алмазное» скрайбирование. Сквозное и с
последующей ломкой. Разделение с помощью свободного и связанного абразива. Проволокой,
полотнами, дисками и алмазными режущими дисками (АРД). Методы установки кристаллов и плат в
корпуса. Монтаж с использованием эвтектических сплавов, припоев, клеев и компаундов. Виды
корпусов (классификация). Виды выводов. Технология проволочного монтажа. Методы создания
неразъемных электрических соединений в изделиях ИЭОТ. Термокомпрессионная, ультразвуковая и
термозвуковая сварки. РЛ: Паучковые выводы. Технология объемных выводов. Защита кристаллов и
плат от дестабилизирующих факторов. Методы и материалы для гермитизации кристаллов и плат.
Корпусирование. Типы корпусов (классификация). Методы контроля герметичности корпусов ИЭОТ.
8.1 Методы разделения пластин и подложек
Технология производства интегральных схем на стадии подготовку кристаллов и плат к сборке в корпусах
предусматривает разделение полу проводниковой пластины, диэлектрической подложки с функциональными схемами на
отдельные кристаллы (платы). Полупроводниковая пластина поступающая на операцию разделения и аккумулирующая в себе
значительные трудовые и материальные' затраты, обладает большой стоимостью. Это обстоятельство Налагает высокую
ответственность на операцию разделения, определяет ее важное место во всей технологической цепочке производства.
Требования к операции разделения пластин формируются в соответствии с требованиями, предъявленными к
кристаллу. Основными и них являются высокий процент выхода годных кристаллов; геометрическая точность
кристаллов; низкий уровень сколов по краям кристаллов.
Традиционные методы резки, применяемые в металлообрабатывающей промышленности, Не Всегда могут быть
использованы, т.к. Полупроводниковые материалы отличаются высокой твёрдостью и хрупко стыо. Кроме того,
традиционная механическая резка сопряжена < большими потерями дорогостоящего полупроводникового материала
Наибольшее распространение в технологии микроэлектроники получили следующие способы разделения пластин на кристаллы:
• резка пластин на кристаллы диском с наружной режущей кромкой или с применением абразива;
• резка пластин на Кристаллы стальными полотнами и проволокой с применением абразива;
• разделение пластин на кристаллы скрайбированием с последующей ломкой;
• ультразвуковая резка пластин;
• разделение пластин на кристаллы травлением.
Из перечисленных способов наибольшее распространение нашли: резка алмазным режущим диском, скрайбирование
алмазным резцом и ла зерное скрайбирование с последующей ломкой.
Резка алмазным режущим диском (ДАР) наиболее простой и легко осуществимый в Производственных условиях
способ резки полупроводниковых материалов. Алмазная кромка диска "обладает высокой режущей способностью.
Механизм резки полупроводникового материала ДАР следующий: каждое алмазное зерно представляет собой микрорезец,
который снимает мельчайшие стружки с обрабатываемой поверхности полупроводникового материала. Резка производится на
высоких скоростях (около 5000 об/мин), с одновременным участием в резании большого количества алмазных зёрен, и
результате чего достигается высокая производительность обработки. При резке выделяется большое количество тепла, поэтому
ДАР необходимо охлаждать водой или специальной охлаждающей жидкостью.
На рисунке 8.1.1 показана схема резки полупроводниковой пластины диском с наружной алмазной режущей
кромкой. Диск 1 устанавливается на шпинделе станка и зажимается с двух сторон фланцами 2. В процессе резания алмазный
режущий диск вращается с большой скоростью и охлаждается жидкостью 3. Разрезаемую полупроводниковую пластину 4
закрепляют клеящей мастикой 5 на основание 6.
Рисунок 8.1.1 Схема резки полупроводниковой пластины диском с наружной алмазной режущей
кромкой
Для увеличения производительности на шпинделе станка через прокладку размещают несколько ДАР (в среднем до
81
200). Толщину прокладок выбирают в зависимости от требуемых размеров обработки.
Основным недостатком резки диском с наружной режущей кромкой являлась" невысокая жесткость Инструмента
(ДАР), зависящая в основном от соотношения его размеров (толщины и внешнего диаметра). Один из путей повышения
жесткости инструмента (ДАР) - увеличение скорости его вращения. Возникающие 'при этом центробежные силы
направляют по радиусу ДАР, придают ему дополнительную жесткость, однако при большом числе оборотов (свыше 10
000 об/мин) возникают вибрации станка и режущего инструмента.
Другой путь увеличения жесткости - это применение более толсто основы ДАР, однако при этом получается большая
ширина пропила, также увеличиваются потери полупроводникового материала.
Жесткость инструмента может быть увеличена также за счет уменьшения разности внешнего диаметра ДАР и
прижимных фланцев или прокладок. Установлено, что ДАР будет обладать большей жесткостью, если режущая кромка
выступает за края прокладок не более чем на 1,5 толщины разрезаемого материала.
.
Современный ДАР (рисунок 8.1.2) представляет собой алюминиевый корпус, на котором электрохимическим методом
осажден никель (в качестве связующего материала) с различными абразивными включениями (для разделения
полупроводниковых пластин, например, используют мелкие зёрна алмаза размером 3 - 5 мкм), а затем с части корпуса никель
удален хим1 ческйм травлением для вскрытия режущей Кромки.
Рисунок 8.1.2 Современный ДАР:
1 - прижимная прокладка; 2 - адгезионный материал; 3 - абразивный слой; 4 - алюминиевый корпус; b толщина лезвия; h - высота лезвия; d - посадочный диаметр ДАР; p - внешний (рабочий) диаметр ДАР
При резке пластин ДАР на скоростях вращения инструмента выше 6700 об/с вследствие интенсификации
гидромеханических процессов возраста величина сколов в зоне реза. Проблема устранения этих явлений была решена в
конструкции диска, где за счёт введения тонкого слоя алмазно-адгезионного материала между абразивным слоем режущей
кромки опорным кольцом диска обеспечивается поглощение энергии колебаний стоячих волн в режущей кромке и
обеспечивается более высокое качество юза.
Усовершенствованным вариантом ДАР является конструкция, представляющая собой тончайшее лезвие в форме круга,
основой которого является эластичный компаунд с равномерно распределенными в нём по объёму алмазными зёрнами.
Гонкое лезвие зажимается между двумя обкладками, придающими ему жёсткость. Такой диск обеспечивает получение
ширины реза, равной его толщине.
Алмазный режущий диск - своеобразный абразивный инструмент, и поэтому боковые плоскости кристалла имеют вид
шлифованной поверхности. Благодаря использованию высоких скоростей движения ДАР можно резать хрупкие, твёрдые
и другие материмы. Качество разделения пластин и износостойкость дисков определяется, в первую очередь, точностью
оборудования и правильным выбором технологических режимов резания. Выбор оптимального технологического режима
обработки определяется свойствами обрабатываемых материалов, глубиной резки и допустимым уровнем сколов.
При разделении полупроводниковых пластин на кристаллы с сохранением ориентации дисковую резку проводят на
эластичных адгезионных носителях, представляющих собой полимерные ленты с адгезионным слоем на поверхности, либо
на жёстких подложках, в качестве которых могут использоваться бракованные кремниевые пластины, графит, керамика
и другие материалы. Для закрепления пластины чаще всего используют “электронный” воск.
При использовании гибкого носителя пластины надрезаются до минимальной перемычки (~10мкм). Операция
разламывания на кристаллы, характерная при скрайбировании, отсутствует, а осуществляется непосредственно на
операции монтажа, где каждый из кристаллов снимается с адгезионного носителя с подколом. Качество этого процесса в
значительной степени определяется свойствами адгезионного носителя, обеспечивающего ориентацию кристаллов при
обработке и межоперационной транспортировке. Адгезионный носитель по физико-химическим свойствам должен быть
82
совместим с кремниевым, а также обладать исключительной равномерностью клеевого покрытия, стабильностью
адгезионных свойств в воде (отмывка в воде после резки), высокой пластичностью (растягиваться в 1,5-2 раза) и
способностью сохранять напряжённое состояние при растягивающих усилиях.
При выборе типа адгезионного носителя необходимо учитывать размеры кристаллов: чем больше кристалл тем
меньшей адгезией должен область носитель. Это требование определяется необходимостью беспрепятственного съёма при
монтаже.
Полупроводниковая пластина, наклеенная на адгезионный носитель - ленту для сохранения ориентации
разделённых кристаллов, закрепляется в кассете, обеспечивающей натяжение ленты. Такие кассеты выпускаются двух
типов в различном конструкторском исполнении кольцеобразные и прямоугольные.
Скрайбирование является одним из методов разделения пластин на кристаллы, заключающееся в том, что на
поверхность Полупроводниковой пластины резцом, лазерным лучом или другими способами наносят неглубокую риску (англ.
scribe), вокруг которой концентрируются механические напряжения, ослабляющие материалы. Основным достоинством метода
скрайбирования наряду с высокой производительностью и культурой производства является: малая ширина прорези, а,
следовательно, и отсутствие потерь полупроводникового, материала, которых невозможно избежать при использовании других
методов разделения пластины на кристаллы. Наиболее широко скрайбирование используют в планарной технологии изготовления
ИС, когда на пластине уже сформированы полупроводниковые структуры.
Разделение осуществляется в две стадии: вначале пластины скрайбируют, для чего риски наносят между готовыми
структурами по свободному полю в двух взаимно перпендикулярных направлениях, а затем разламывают по рискам на
прямоугольные или квадратные кристаллы. Операция разламывания. Производится на специальном технологическом оборудовании.
Качество скрайбирования при механическом создании риски резцом и последующей ломки в значительной степени
зависит от состояния рабочей части алмазного резца. Работа резцов с изношенным режущим ребром или вершиной приводит к
сколам при скрайбировании и некачественной ломке. Обычно скрайбирование выполняют резцами, изготовленными из
натурального алмаза, которые по сравнению с более дешёвыми резцами из синтетических алмазов имеют большую стойкость.
Наибольшее распространение получили резцы, имеющие режущую часть в форме трёхгранной или усеченной четырёхгранной
пирамиды, режущими элементами которой являются ребра пирамиды.
Средняя стойкость резца (одного режущего ребра) при скрайбировании кремния составляет 25-40 пластин диаметром 100 мм
(3500 резов). После скрайбирования 25 - 40 пластин или при появлений сколов на пластине резец необходимо проконтролировать
под микроскопом. Как показывает опыт, применять резцы с износом режущего ребра более 10-15 мкм нецелесообразно, так как они
не обеспечивают качественного скрайбирования. Кроме того, при чрезмерном износе вершин режущего ребра их восстановление
при переточке резца затруднено, к быстрому износу резца приводит скрайбирование пластин с покрытием из окисла кремния или
ионного диэлектрика. На таких пластинах необходимо предусматривать специальную (без покрытия) дорожку
полупроводникового материала шириной 50 - 75 мкм.
Широкое применение нацию также лазерное скрайбирование полупроводниковых пластин, при котором надрез (риска)
образуется не механическим, а электрофизическим способом - путём испарения узкой полосы полупроводникового материала с
поверхности пластины с помощью сфокусированного лазерного пучка, имеющего большую мощность излучения.
Скрайбирование лучом лазера имеет большое преимущество перед скрайбированием алмазным резцом: на рабочей
поверхности пластины не происходит образования микротрещин и сколов вследствие отсутствия механического контакта
"режущего инструмента" (лазерного луча) с полупроводниковым материалом; скорость скрайбирования может быть увеличена в
несколько раз (до 100 - 200 мм/с) благодаря тому, что луч лазера всегда контактирует с поверхностью пластины; возможно
скрайбирование пластин с любым, в том числе с диэлектрическим покрытием; возможно не только скрайбирование на
различную глубину, но и сквозное разделение пластины (без последующего разламывания их на кристаллы).
Размеры риски - ширина и глубина, зона термического влияния лазерного луча, а также скорость скрайбирования и
равномерность удаления материала по всей длине риски определяется скоростью перемещения пластин относительно
лазерного луча, мощностью, частотой и длительностью импульсов лазерного излучения, а также размером сфокусированного
пятна.
Современные установки лазерного скрайбирования позволяют получать риски шириной около 30 мкм и глубиной не
менее 50 мкм при скорости скрайбирования свыше 50 - 100 мм/с. Зона термического воздействия лазерного излучения
составляет при этом не более 50 - 75 мкм, включая ширину риски. Скрайбирование на большую глубину, в том числе
сквозное разделение (на глубину до 200 мкм), выполняют с меньшей скоростью (5 -10 мм/с).
К недостаткам лазерного скрайбирования следует отнести большую сложность и стоимость оборудования, а также
необходимость специальных мер защиты рабочей поверхности от продуктов лазерной обработки, образующихся в процессе
испарения материала под воздействием лазерного излучения.
Разделение разламыванием. Разламывание выполняется машинным или ручным способом. Ручное разламывание
обычно позволяет получать больший выход, чем машинное. Так как при использовании многорезцовой головки производится
одновременное скрайбирование всей пластины, ручное разламывание позволяет, если это понадобится, производить
разламывание в любой последовательности. Обычное разламывание производится таким образом, чтобы на разделение одной
пластины приходилось наименьшее число разламываний. Однако при ручном разламывании оператор может "почувствовать",
когда необходимо дополнительное усилие, и затем в соответствии с этим может изменить последовательность. Например,
если оказывается, что для разламывания по одной из длинных линий необходимо избыточное давление, оператор может
быстро изменить последовательность и произвести сначала разламывание по белее короткой, линии. Поэтому после того, как
пластина расколота на малые части, "трудная" линия будет разделена на части малой длины, благодаря чему разламывание
коротких частей станет более простым. Другим преимуществом ручного разламывания является возможность
83
Наблюдения оператором каждой линии в процессе разламывания, что позволяет обнаружить заблаговременно линии
некачественного реза.
Линия реза коническим алмазным резцом должна, быть очень слабой, без следов образования узора из трещин.
Избыточная нагрузка на резец проявляется в виде большого количества отщеплённых кусочков пластины. Образующиеся
при этом трещины ц сколы образуют картину, похожую на выпадение инея; В зависимости от нагрузки такая картина
может возникнуть в процессе скрайбирования либо сразу после него, а иногда и нескольких часов спустя. В результате при
разламывании линия скола Может начать распространяться вдоль линии скрайбирования, но затем может изменить
направление и распространиться вдоль любой из трещин. Поэтому необходимо, чтобы между скрайбйрованием и разламыванием проходило минимальное время.
Наиболее распространенными являются методы разламывания проскрайбированных пластин сферой, полуцилиндром и
валиком.
Разламывание пластин цилиндрических и сферических (Рисунок 8.1.3) опорах позволяет получать кристаллы с
соотношением сторон от 1:1 до 1:1,5. Радиус кривизны сферы или полуцилиндра для различных размеров кристаллов должен
быть различным.
Более универсальным является метод разламывания валиком (Рисунок 8.1.4). Пластину помещают проскрайбированной
поверхностью на упругую опору и прокатывают последовательно в двух взаимно перпендикулярных направлениях твердым
валиком диаметром 10 – 30 мм. Усилие нагружения подбирается в зависимости от жесткости опоры. Меньший диаметр валика и
более жесткие опоры используются при меньших отношениях длины кристалла к толщине пластины (1/Н).
Рисунок 8.1.3 Схема пневмогидравлической ломки полупроводниковых пластин М сферических
(цилиндрических) опорах:
а) - исходное положение; б) - положение после разламывания.
При больших значениях параметров отношения 1/Н вместо валика применяют клин с небольшим радиусом
скругления, который последовательно ориентируют по линиям скрайбирования полупроводниковой пластины
(Рисунок 8.1.5). Усилие нагружения при разламывании клином должно быть программируемым.
84
Рисунок 8.1.4. Разламывание пластины валиком
Рисунок 8.1.5. Схема разламывания клином
Резка подложек. В настоящее время для подложек тонкоплёночных схем используемая керамика с высоким
содержанием окиси алюминия, является слишком твёрдой для обычного скрайбирования и разламывания. Для резки
керамических подложек применяется распиливание алмазным диском, которое состоит из четырёх основных этапов:
закрепление и ориентация подложки, распиливание, демонтаж и очистка.
Закрепление и ориентация. Подложки закрепляются на металлических брусках, поддерживающих подложки в
результате распиливания. Для этого они приклеиваются к брускам с помощью гликольфталата при нагреве. Для совмещения
подложка помещается точно по отношению к ограничителю на бруске и потенциальная линия реза настраивается параллельно
прямоугольным краям бруска. Затем брусок с наклеенной подложкой монтируется на магнитном зажимном устройстве
установки для резания, В бруске делаются продольные канавки, что позволяет вести резку подложки насквозь, не задевая
алмазным диском металла.
Резка. Для операции резания используется установка для прецизионного резания с магнитными зажимными
устройствами. На общей оси набирается несколько алмазных дисков что обеспечивает получение большого числа параллельных
рёзов. Подгонка расстояний между дисками осуществляется с помощью металлических прокладок и клиньев из пластмассы.
Первоначальная настройка бруска по отношению к дискам осуществляется с помощью подгонки Поперечной подачи столика.
После этого предполагается, что все бруски точно совмещены, если они точно и надёжно устанавливаются по отношению к
упору магнитного зажимного устройства. Для уменьшения времени настройки используются два набора дисков, один из
которых настраивается для резки в одном направлении и другой - в направлении, перпендикулярном к первому. .
Обычно для получения максимальной производительности требуются высокие скорости резания. Выбор конкретной
скорости определяется следующими факторами: число дисков на оси, размеры и концентрация алмазных кристаллов на диске,
85
требуемое качество обработки края подложки и желательный срок службы Диска. Для получений чистых, близких к полированным краев, применяется резка при малой скорости с использованием дисков с Мелкозернистыми алмазами с высокой
концентрацией покрытия. Увеличения размеров частиц и скорости реза приводит к более глубокой поверхности реза и в Пределе
может привести к появлению зазубрин и растрескиванию.
Так как общие размеры схемы должны точно выдерживаться и края подложки должны быть в приемлемой степени
гладкими с минимальным количеством зазубрин и трещин, для использования в производстве необходимо выбирать алмазные
диски высшего качества при скорости резки 100 -200 об/мин.
Демонтаж и очистка. После окончания резания по обоим направлениям брусок с подложкой снимают с магнитного
зажимного устройства и помещают в растворитель. Когда клеящее вещество размягчится, подложка снимается с бруска и
остатки клеящего вещества удаляются путём дальнейшего отмачивания в растворителе, например, в ацетоне.
8.2 Методы установки кристаллов и плат в корпуса
8.2.1 Монтаж с использованием эвтектических сплавов
В отличие от контактно-реактивной пайки эвтектический сплав образуется не в результате контактного плавления соединяемых
материалов, а вводится в качестве припоя между соединяемыми поверхностями кристалла и корпуса.
Пайкой эвтектическими сплавами присоединяют полупроводниковые кристаллы к корпусам. Золочение
контактирующих поверхностей каких-либо ощутимых результатов не дает. В качестве эвтектических используют
сплавы золото - германий или золото — кремний, диаграммы состояния которых показаны на рисунке 8.2.1.1, а, б.
Рисунок 8.2.1.1. Диаграммы состояния сплавов;
a - золото-германий, б- золото-кремний
Подготовленные к пайке детали нагревают в нейтральной атмосфере (осушенном и очищенном азоте) до
температуры, несколько превышающей температуру плавления эвтектического сплава. Эвтектические сплавы золото
— германий и золото — кремний содержат (по массе): первый 12 % германия и 88 % золота, а второй - 6 % кремния
и 94 % золота. Температура плавления эвтектик золото - германий и золото - кремний, соответственно равная 356 и 370
°С, ниже температуры плавления каждого из этих материалов. Эвтектические сплавы являются смесью, а не химическим
соединением.
Использование для пайки эвтектического сплава золото -кремний дает хорошие результаты, но сложность
приготовления ограничивает его применение. Кроме того, при изготовлении происходит расслоение сплава золото —
кремний. Поэтому чаще применяют эвтектический сплав золото — германий ЗлГр12, примерный режим пайки которым на
специальной установке приведен ниже.
Температура поверхности столика, ОС……………………….410 + 10
усилие на инструменте, Н……………………………………..0,6 – 0,7
Амплитуда колебаний инструмента, мм............................... 0,08
Время пайки, с ............................................................................ 7
При климатических (на термоциклирование) и механических (на вибропрочность и многократные удары)
86
испытаниях выполненные таким образом паяные соединения кристаллов с корпусом не разрушаются.
По сравнению с контактно-реактивной пайка эвтектическими сплавами обладает рядом достоинств. Так как
эвтектические сплавы имеют невысокую температуру плавления, хорошие жидкотекучесть и способность смачивания, а
также незначительное время нагрева до температуры пайки, в паяном соединении не создаются большие остаточные
напряжения, образующиеся вследствие разницы ТКЛР соединяемых материалов. Введение эвтектического сплава между
соединяемыми поверхностями способствует сглаживанию на них шероховатостей и неровностей.
Нерастекшийся припой свидетельствует о плохой смачиваемости им золоченой поверхности корпуса и кристалла
или о недостаточно высокой температуре пайки. Это является одной из причин низкой прочности паяного соединения.
Появление трещин и сколов на кристаллах связано с большим усилием, прикладываемым к рабочему инструменту, или
слишком резким подъемом температуры пайки по сравнению с оптимальной.
Пайка кристаллов к контактным площадкам корпусов эвтектическим сплавом состоит из следующих операций:
захвата прокладки 1 из эвтектического сплава, ее переноса и укладки на место пайки (Рисунок 4, а, б); захвата кристалла
б, переноса и присоединения его к корпусу 3 (Рисунок 4, в, г). Захват, перенос и удержание прокладок и кристаллов
выполняются специальным инструментом — вакуумным пинцетом 2. При этом усилие захвата и удержания прокладки
(кристалла) создается вследствие перепада давлений.
Рисунок 8.2.1.2. Пайка кристалла к контактной площадке корпуса эвтектическим сплавом:
а, б - захват и укладка прокладки, в, г - захват и укладка кристалла на прокладку и их пайка; 1 - прокладки, 2 -инструмент (вакуумный пинцет), 3 -корпус, 4 - нагреватель, 5 - устройство прижима корпуса к нагревателю, б –кристаллы
8.2.2 Монтаж с использованием клеев и компаундов
М о н т а ж к р и с т а л л о в п р и к л е и в а н и е м обычно применяют при изготовлении полупроводниковых
приборов и ИМС общего назначения, так как он довольно прост, экономичен, не требует сложного технологического
оборудования и позволяет получать соединения из различных материалов, обладающие заданными характеристиками.
В качестве клеев широко используют пластмассы - эпоксидные смолы, которые обеспечивают достаточную
механическую прочность и надежность соединений и имеют низкую температуру отверждения, что исключает ухудшение
параметров полупроводниковых приборов и ИМС вследствие перегрева кристаллов.
В зависимости от свойств пластмассы подразделяют на диэлектрические, теплопроводящие и оптические.
Пластмассы без наполнителей являются диэлектриками. Если добавить в пластмассу серебряный порошок в количестве
от 60 до 80 % по массе, она становится электропроводной и одновременно значительно возрастает ее теплопроводность,
так как серебро является отличным проводником электрического тока и теплоты.
Для" монтажа кристаллов оптоэлектронных приборов (фотодиодов, фототранзисторов, цифровых индикаторов и
др.) требуются пластмассы, не содержащие наполнителей, обладающие высокой прозрачностью в диапазоне длин волн
300—700 нм, не изменяющейся в течение длительного времени эксплуатации, малой вязкостью (400-3000 сП) и
отверждающиеся при 90— 125 °С в течение 4-24 ч.
При монтаже кристаллов клей наносят либо на их обратную сторону, либо на корпус автоматически небольшими
каплями или штемпелем. Иногда применяют таблетки из пластмассы.
Приведем для примера несколько марок клеев, используемых при монтаже кристаллов в корпуса. Так, клеиУП5-201 и УП-5-201Э созданы на основе эпоксидной смолы, модифицированы циклоалифатическими соединениями и
соответственно являются токопроводящими и изолирующими. Клей ЭЧЭ-С изготавливается из эпоксидной смолы с
серебряным наполнителем и является токопроводящим. Клей УП-5-207М аналогичен по составу клею УП-5-201Э и
предназначен для соединения позолоченных контактных площадок корпусов и кристаллов.
О
Токопроводящие клеи отверждаются при 120 ОС в течение 2 ч или при 170 ОС в течение 1 ч, а нетокопроводящие - при 120 и 150
С при аналогичной выдержке.
М о н т а ж к р и с т а л л о в п а й к о й с т е к л о м применяют при массовом производстве маломощных
однокристальных полупроводниковых приборов, в которых не требуется электрический контакт между нижней
поверхностью кристалла и корпусом. Стекло в виде пасты обычно наносят методом трафаретной печати или напылением
на место монтажа в корпуса,, размягчают нагревая и укладывают кристалл, охлаждая затем, корпус до комнатной
температуры.
Корпус ИМС, в котором монтаж кристалла, присоединение выводов и герметизация выполнены стеклом, показан на
рисунке 8.2.2.1, а. При этом трафаретной печатью или напылением на контактные площадки керамического основания
5 корпуса под выводы и кристалл, а также на крышку 1 наносят
87
Рисунок 8.2.2.1. Корпуса ИМС, в которых пайкой стеклом выполняется монтаж кристалла, присоединение выводов игерметизация (д), изоляция проводящих слоев и герметизация (б), изоляция токоведущих дорожек и герметизация (в):
1. - крышка, 2 - стеклянный припой, 3 - рамка, 4 - вывод, 5 - основание, 6 - кристалл, 7 - слой металлизации
стеклянный припой 2, который оплавляют в печи, не допуская кристаллизации. Затем на нагретое до 450 °С
керамическое основание 5 монтируют кристалл 6 и присоединяют выводы 4, вдавливая их в размягченное стекло.
После этого герметизируют корпус, соединяя крышку с основанием, сжимая их и прогревая при температуре около 500
О
С в течение 5 мин. При повторном нагреве происходит кристаллизация стеклянного припоя, что повышает прочность
спая.
В корпусе ИМС, показанном на рисунке 8.2.2.1, б, стеклянный припой 2 последовательно наносят трафаретной
печатью на слои металлизации 7 для изоляции их друг от .друга. Герметизируют такие корпуса, соединяя стеклянным
припоем 2 керамическое основание 5 корпуса с керамической крышкой 1.
В корпусе ИМС, показанном на рисунке 8.2.2.1, в, два слоя стеклянного припоя 2 служат для изоляции
токоведущих дорожек. Герметизируют такие корпуса так же, как показанный на рисунке 8.2.2.1,6.
8.3 Виды выводов.
Создание между контактными площадками кристаллов и корпусов с помощью электродных выводов надежного
при различных условиях эксплуатации электрического контакта является важным технологическим процессом
производства полупроводниковых приборов и ИМС. Присоединение тонких алюминиевых или золотых проволочек —
электродных выводов — до настоящего времени остается малопроизводительной, трудоемкой и низконадежной
операцией, которую выполняют на специальных установках с визуальным наблюдением и ручным ориентированием
инструмента, проволоки и мест присоединения, и отдельных случаях стоимость присоединении электродных выводов
составляет до 50 % стоимости ИМС. Половина все: отказов ИМС происходит из-за нарушения электрической контакта.
Методы присоединения электродных выводов можно раз делить на проволочные и беспроволочные. К проволочным
-наиболее распространенным методам — относятся термокомпрессионная (термокомпрессия), ультразвуковая и
микроконтактная сварка, а также пайка, которые будут подробно рас смотрены в следующих параграфах. Ознакомимся с
беспроволочными методами, имеющими незначительное применение но являющимися перспективными. К
беспроволочным мето дам (отсутствие проволочных электродных выводов) относят монтаж перевернутого
кристалла (флип-чип) и кристалл с балочными выводами.
При
м о н т а ж е п е р е в е р н у т о г о к р и с т а л л (Рисунок 8.3.1, а) вместо проволочных выводов
используют выступ*
Рисунок 8.3.1. Монтаж перевернутого кристалла: а — с выступами, б - с шариками; 1 - кристалл, 2 - выступы, 3 - плата, 4 алюминиевая металлизация, 5 - пленка диоксида кремния, б - слои хрома, 7, 10 - припой, 8 - медный шарик, 9 - слой никеля
88
(столбики) 2 высотой в десятые доли миллиметра, расположен ные в определенных местах полупроводникового
кристалла 1 Естественно, что все необходимые соединения на кристалл электрически связывают с выступами. Кристалл
переворачиваю выступами вниз, опускают на плату 3 с точно расположенным участками металлизации 4, прикладывают
давление и подводя теплоту для образования соединения выступов с платой.
Выступы на кристаллах могут быть изготовлены из низке температурного
(мягкого)
припоя, а также
гальваническим осаждением алюминия или золота. В тех случаях, когда выступы получают гальваническим осаждением,
кристаллы присоединяют термокомпрессионной или ультразвуковой сваркой.
Монтаж перевернутого кристалла имеет несколько разновидностей. Например, в качестве выступов используют
медные шарики (Рисунок 8 .3.1 ,6). Для этого в кремниевом кристалле 1, имеющем контактные площадки, покрытые
пленкой 5 диоксида кремния, изготовляют окна, в которые осаждают три слоя 6 (хрома, хрома с медью и хрома с
оловом). Затем на них наносят оловянистый припой 7 и укладывают медный никелированный шарик 8, который
закрепляют оплавлением мягкого припоя 10. При совмещении такого выступа с предварительно облуженной контактной
площадкой платы 3 и последующем нагреве с приложением давления к кристаллу происходит пайка, обеспечивающая
надежное соединение.
Кроме того, применяют монтаж кристаллов, при котором выступы из мягкого припоя имеют контролируемую
осадку (Рисунок 8.3.2, а-д). В пленке 2 диоксида кремния изготовляют окна, в которые гальванически на слой
металлизации 3 осаждают припой 1 (Рисунок 8.3.2, а). При нагревании кристалла 4 припой принимает форму
сферического выступа 5 (Рисунок 8.3.2,6).
Затем кристалл переворачивают выступом вниз (Рисунок 8.3.2, в), совмещают с платой 7 и нагревают. Выступ
расплавляется и взаимодействует с предварительно нанесенным на плату припоем 6 (рис 8.3.2, г.) образуя столбик 8
припоя (Рисунок 8.3.2, д).
Рисунок 8.3.2. Монтаж кристалла с контролируемой осадкой выступов:
а, б - нанесение гальваническим способом припоя и оплавление его, в - переворачивание кристалла, г - нанесение припоя на
плату, д - монтаж кристалла на плату; 1 , 5 - припой, нанесенный на кристалл и оплавленный, 2 - пленка диоксида кремния, 3 слой металлизации, 4 — кристалл, 6 - припой, нанесенный на плату, 7 - плата, 8 - столбик припоя
Силы поверхностного натяжения расплавленного припои устанавливают кристалл точно на свое место. Так как
остальные поверхности кристалла и платы закрыты пленкой 2 диоксиде кремния, не смачиваемой припоем, кристалл
припаивается т некотором расстоянии от платы, что исключает закорачивание выступов.
Достоинством монтажа перевернутого кристалла является то, что все соединения выполняются одновременно. Это повышает
также ю надежность. Кроме того, использование при монтаже мягкого припое облегчает демонтаж бракованных кристаллов.
Пластичность мягкой припоя частично компенсирует температурные напряжения в соединении
Однако этот метод монтажа довольно дорог, так как сложны операции подготовки кристаллов и плат, не
обеспечивает хороший теплоотвод от кристаллов и- возможны локальные перегревы вследствие некоторой разницы
температур в процессе монтажа. При нарушении технологического процесса сборки могут образовываться короткие
замыкания между кристаллами и платами;
П р и м о н т а ж е к р и с т а л л о в с б а л о ч н ы м ! в ы в о д а м и , выходящими за их габарит (Рисунок
8.3.3,а), гребенчатое расположение выводов экономит площадь полупроводниковых подложек. Кристалл 2 с балочными
выводами 1 обычно присоединяют к слою металлизации 3 на плате 4 термокомпрессионной или ультразвуковой
сваркой. Балочные выводы получают на подложках, еще не разрезанных на кристаллы (по групповой технологии). Для
этого на поверхности подложек со структурами создают в пленке диоксида кремния окна, в которые наносят золото,
образующее балочные выводы, а затем травлением разделяют подложки на отдельные кристаллы.
Разновидностями монтажа кристаллов с балочными выводами являются паучковое соединение и соединение,
получаемое напылением балочных выводов.
Паучковое соединение (Рисунок 8.3.3, б) целесообразно применять, когда выводов более десяти. Балки в виде
паучка 5 вырубают из ленты алюминиевой фольги, накладывают на подложку 6 и присоединяют, например
термокомпрессией, к контактным площадкам. Затем разделяют паучок на отдельные выводы и монтируют ИМС в
перевернутом виде в аппаратуре.
89
Рисунок 8.3.3. Монтаж кристаллов с балочными выводами: а - конструкция кристалла, б - паучковое соединение, в, г балочные выводы, изготовленные напылением на столбиках и на плате; 1 - балочные выводы, 2 - кристалл, 3 - слой металлизации,
4 - плата, 5 - паучок, 6 -г подложка, 7 - пластмассовая пленка, 8 - столбик, 9 — металлическое основание
При соединении, получаемом напылением балочных выводов (Рисунок 8.3.3, в)., после выполнения всей
пленочной разводки на нужных местах плат создают столбики 8, монтируют между ними кристаллы 2, которые
накрывают сверху пленкой термопластичного материала (например, фторированным этилен-пропиленом) 7 и получают
фотолитографией в пластмассе окна, оставляя незащищенными столбики и лицевые поверхности кристаллов. Затем
вакуумным напылением в окна наносят золотую металлизацию (балочные выводы Г), соединяющую кристаллы со
столбиками.
Балочные выводы можно получать также на плате (Рисунок 8.3.3, г). Для этого предварительно напылением и
фотолитографией создают на плате 4 пленочные балочные выводы, а также отверстия, в которые помещают кристаллы 2,
присоединяя их нижнюю сторону к металлическому основанию 9 (это способствует улучшению теплоотвода), а
верхнюю соединяют термокомпрессией с балочными выводами.
Достоинствами монтажа кристаллов с балочными выводами являются улучшение условий теплоотвода, отсутствие разрушений
кристаллов, так как давление прикладывается к балочному выводу; а также возможность визуального контроля.
В то же время такой монтаж в 2 раза дороже монтажа перевернутых кристаллов, так как требуется дополнительная
металлизация и не допустимы даже незначительные отклонения плат от плоскостности. Кроме того, балочные выводы
легко изгибаются (их сечение обычно равно 13x76 мкм), но иногда ломаются.
Необходимо отметить, что беспроволочные соединения целесообразно применять в массовом производстве
при выпуске полупроводниковых приборов и ИМС не менее нескольких миллионов.
8.4 Термокомпрессионная, ультразвуковая и термозвуковая сварки
Термокомпрессия — это процесс соединения двух материалов, находящихся в твердом состоянии, при воздействии на них теплоты и давления. Температура нагрева соединяемых термокомпрессией материалов не должна превышать температуру образования их эвтектики, и один из
материалов должен быть пластинным.
Получение прочного соединения термокомпрессиоиной сваркой можно объяснись следующим образом. Как
известно, идеальных поверхностей не существует. В микроскоп при сильном увеличении можно увидеть, что на
поверхностях контактной площадки полупроводникового кристалла и электродной проволоки (вывода) имеется
множество микровыступов и микровпадин. Если приложить давление к электродному выводу, изготовленному из
пластичного материала, и нагревать, например, полупроводниковый кристалл, произойдет пластическая деформация
микровыступов электродного вывода, а также час-, тачная деформация микровыступов полупроводника и взаимное
затекание соединяемых материалов в микровпадины, т.е. термокомпресоионная сварка.
При термокомпрессионной сварке образуется хорошая адгезия между полупроводниковым кристаллом и
электродным (Выводом и создается надежный электрический контакт. Следует отметить, что чем пластичнее материал
электродных выводов/, тем большим коэффициентом адгезии он обладает. Так, золото и алюминий по сравнению с
другими материалами, используемыми для электродных выводов (медь, серебро), имеют наибольший коэффициент
адгезии, соответственно равный 1,84 и 1,80.
90
В производстве полупроводниковых приборов и ИМС термокомпрессией соединяют следующие пары материалов:
золото — кремний, золото — германий, золото — алюминий, золото — золото, алюминий — алюминий, золото — серебро и
алюминий — серебро.
Присоединение электродных выводов термокомпрессией может быть выполнено в виде одной или несколь ких
плоских точек, шарика, а также встык (шариком) и внахлест. Рассматривая присоединение электродных выводов
термокомирес-сией, обычно имеют в виду, что сварка выполняется, как правило, в двух местах: один конец вывода
приваривают к контактной площадке кристалла (первая сварка), а второй - к выводу корпуса (вторая сварка). В
зависимости от принятого технологического процесса присоединения выводов (в это понятие входит также
оборудование, конструкция инструмента) термокомпрессию разделяют на шариковую, клином и сшиванием.
Ш а р и к о в а я т е р м о к о м п р е с с и я (Рисунок 8.4.1,а) каких-либо особых пояснений не требует. Следует
только отметить, что шарик 5 на конце проволочного вывода может быть получен оплавлением электродной проволоки 1
в пламени водородной горелки 4 или прикосновением к электрическому разряднику.
При оплавлении в водородном пламени получают два шарика или один. При получении двух шариков один остается
свободным на конце уже присоединенного вывода, а другой находится в капилляре 3 (инструменте) и предназначен для
приварки очередного электродного вывода к кристаллу 7 и контактной площадке 8. Один шарик в водородном пламени
получают в том случае, когда горелку используют только для оплавления конца проволоки в шарик, выходящий из
капилляра, а не для отделения присоединенного электродного вывода от проволоки при второй сварке.
С помощью разрядника и на конце проволоки образуется только один шарик, которым электродный вывод
присоединяют к контактной площадке кристалла. Второй конец электродного вывода в этом случае приваривают к
корпусу 9 внахлест.
Термокомпрессия к л и н о м (Рисунок 8.4.1, б) довольно сложна для выполнения. Сначала необходимо
совместить контактную площадку 8 кристалла 7 с инструментом - клином 11 и электродную проволоку 1 (вывод) с его
концом. Затем, после сварки, вытянув проволоку из сопла 12, надо проделать те же манипуляции для присоединения
второго конца проволоки 1 к корпусу 9. Далее отделяют электродный вывод от остальной части проволоки обрывом,
ножницами, перерезанием иглой о край вывода корпуса или обрезкой специальным устройством.
Обрезка специальным устройством считается наилучшим способом, так как конец проволоки не сплющивается
(сплющенный конец непригоден для следующей приварки) и не остается длинных отрезков, которые не только
увеличивают расход проволоки (обычно золотой), но и могут быть причиной образования коротких замыканий.
П р и т е р м о к о м п р е с с и и с ш и в а н и е м (Рисунок8.4.1, в) инструмент представляет собой капилляр 3 с
вертикальным осевым отверстием. Иногда этот вид термокомпрессии называют петлевым. Процесс создания проволочных
перемычек между кристаллом 7 и корпусом 9 в этом случае во многом напоминает обычное шитье. Только при шитье
нитка проходит через боковое отверстие иголки, а при термокомпрессии сшиванием —
через вертикальное осевое отверстие инструмента.
1
После приварки конца электродной проволоки 1 к кристаллу 7 ее вытягивают через капилляр 3, который
совмещают с контактной площадкой 8 и выполняют вторую сварку. Затем проволоку обрезают ножницами 13, загибая
свободный конец под инструмент.
Термокомпрессию и н с т р у м е н т о м в в и д е " п т и ч ь е г о к л ю в а" (Рисунок 8.4.1, г) относят к
термокомпрессии сшиванием. Инструмент — "птичий клюв" 14 — состоит из двух частей, между которыми пропускают
электродную проволоку 1. После выполнения обеих сварок проволоку обрывают, перемещая инструмент в сторону от
места сварки. Этот инструмент сложен в изготовлении и эксплуатации, поэтому имеет ограниченное применение в
производстве. В то же время он обеспечивает высокую прочность соединения, так как на электродном выводе образуется
его отпечаток 10 с ребром жесткости.
Необходимо отметить, что каждый способ термокомпрессии характеризуется своим отпечатком инструмента на электродном выводе.
Наиболее производительной считается шариковая термокомпрессия, но ее применяют только при сборке
полупроводниковых приборов с большими контактными площадками, используя электродную проволоку диаметром
более 20 мкм. При термокомпрессировании золотой электродной проволоки к кремниевому кристаллу температура
нагрева составляет 350-380 °С, давление 60-100 МН/м2, а время выдержки 2-20 с. При соединении золотой проволоки со
слоем золота, напыленным на пленку диоксида кремния, температура нагрева должна быть 250-370 °С, давление 60100 МН/м 2, а время 0,5-2 с.
Основным достоинством термокомпрессионной сварки является возможность соединения без флюса и припоев мeталлов в твердом
состоянии при сравнительно низких температурах и малой их деформации (10 — 30 %) как на воздухе, так и в атмосфере формиргаза или
сухого водорода. Кроме того, термокомпрессия обладает сравнительно высокой технологичностью, заключающейся в простоте подбора режимов и
изготовления оборудования, а также возможности контроля качества сварки.
Недостатки термокомпрессии — ограниченное число пар свариваемых металлов, высокие требования к качеству
соединяемых поверхностей, сравнительно низкая производительность труда (обычно сварку выполняют под
микроскопом).
Для присоединения электродных выводов термокомпрессией используют специальные установки, отличающиеся
внешним оформлением и некоторыми особенностями конструкции, в основу которых заложены три технологических
признака: способ нагрева, конструкция инструмента и вид термокомпрессионной сварки. В различных установках
термокомпрессии могут нагреваться столик (Рисунок 8.4.2, а), инструмент (Рисунок 8.4.2, б) или одновременно
инструмент и столик (Рисунок 8.4.2, в).
91
Рисунок 8.4.1. Термокомпрессионная сварка шариком (а), клином (б), сшиванием (в), "птичьим клювом" (г):
1 - электродная проволока, 2 - зажимн о е ус т р о й с т во , 3 - капилляр, 4 -водородная горелка, 5 - шарик, 6 -электродный вывод,
7 , 8 - контактные площадки кристалла и корпуса (платы), 9 - корпус (плата), 10 - форма отпечатка инструмента, 11 - клин, 12 - сопло,
13 - ножницы, 14 -"птичий клюв"
Рисунок 8.4.2. Разновидности термокомпресоионной сварки в зависимости от способа нагрева столика (д), инструмента (б, г),
столика и инструмента (в):
1 — инструмент, 2 - электродная проволока, 3 - кристалл, 4 - корпус (плата), 5 - столик, б - нагреватель
Разновидностью термокомпрессии является сварка косвенным импульсным нагревом (СКИН) инструмента из
жаропрочного материала, служащего проводником электрического тока (Рисунок 8.4.2, г). При импульсном
пропускании электрического тока происходит кратковременный перегрев инструмента, в результате чего им можно
сваривать электродные выводы из малопластичных металлов (меди, серебряного сплава) с тонкими металлическими
пленками, нанесенными на керамику или полупроводник.
Ультразвуковая сварка — это процесс соединения двух материалов, находящихся в твердом состоянии, при незначительном нагреве
с приложением определенного давления и колебаний ультразвуковой частоты.
92
При ультразвуковой сварке температура нагрева в зоне контакта не превышает 50-60 % от температуры
плавления соединяемых материалов. Контактное давление, подбираемое опытным путем, зависит от механических
свойств свариваемых материалов и размеров изготовленных из них деталей. Обычно деформация деталей, соединяемых
ультразвуковой сваркой, не превышает 5—20 % их первоначальных размеров.
Ультразвуковую сварку выполняют в интервале частот от 18 до 250 кГц. Ультразвуковые колебания,
воздействуя на соединение, нагревают его, освобождают от загрязнений и оксидов поверхности в зоне контакта, ускоряют
пластическую деформацию электродных выводов. В результате происходит сближение физически чистых поверхностей на
расстояние действия межатомных сил, взаимная диффузия и прочное соединение двух материалов.
При ультразвуковой сварке не используют флюсы и припои, что является ее основным достоинством. Кроме того, этим способом
можно соединять разнородные, разнотолщинныс и трудносвариваемые материалы.
Так, с помощью ультразвука хорошо свариваются электродные выводы из золота и алюминия с золотым
покрытием, нанесенным на ситалл по подслою нихрома; алюминиевые электродные выводы с алюминиевой пленкой,
нанесенной на стекло, кремний или диоксид кремния; золотые, алюминиевые и медные проволочные выводы ИМС с
золотым покрытием, осажденным на ковар по подслою никеля.
Установки ультразвуковой сварки оснащаются различными системами передачи ультразвуковых колебаний к
месту контакта свариваемых материалов. Так, для приварки проволочных выводов ИМС обычно применяют
ультразвуковую продолъно-поперечную колебательную систему с инструментом, совершающим колебания изгиба
(Рисунок 8.4.3).
Ультразвуковые колебания от преобразователя 1 передаются по концентратору (волноводу) 2 к расположенному
перпендикулярно ему сварочному инструменту 3, которой, в свою очередь, передаёт их проволочному
элетродному выводу 4 и кристаллу 5. Инструмент, совершая колебания изгиба, воздействует на электродный
вывод, притирая его к кристаллу. При этом поверхности контакта очищаются, нагреваются, сближаются и происходит
взаимная диффузия атомов.
Рисунок 8.4.3. Ультразвуковая продольно-поперечная колебательная система:
1 - преобразователь (вибратор), 2 - концентратор (волновод), 3 - инструмент, 4 - электродный вывод, 5 - контактная
площадка кристалла, б - устройство крепления, 7, 8 - обмотки возбуждения и подмагничивания
Прочность соединений, полученных ультразвуковой сваркой, зависит от амплитуды и частоты ультразвуковых
колебаний инструмента, контактного усилия, прикладываемого к свариваемым деталям, состояния их поверхностей,
времени сварки и мощности колебательной системы.
Амплитуду и частоту колебаний инструмента для каждой пары деталей определенной толщины подбирают
опытным путем, так как от них зависит динамическая нагрузка, передаваемая в зоне контакта. Так, для соединения
деталей небольшой толщины используют малые амплитуды (0,005-0,015 мм) и повышенные частоты (до 100 кГц).
Пластическая деформация материалов зависит от их физико-механических свойств, толщины и приложенного
контактного усилия, а также состояния поверхностей. Так, для электродных выводов диаметром От 20 до 50 мкм
контактное усилие лежит в пределах 0,05 — 1 Н.
Мощность колебательной системы определяется конструкцией установки, а время сварки зависит от выбранных
амплитуды и частоты колебаний инструмента, контактного усилия, а также свойств свариваемых материалов, их
толщины и обычно составляет от нескольких сотых до нескольких десятых долей секунды.
Свариваемые поверхности должны быть чистыми, не иметь жировых пленок и грубых дефектов. Следует помнить,
что основным условием высококачественной ультразвуковой сварки является свободное контактирование соединяемых
поверхностей.
,
Интенсификации процесса ультразвуковой сварки способствует косвенный импульсный нагрев инструмента.
Одновременное воздействие ультразвуковых колебаний на соединяемые детали и импульсного нагрева инструмента
повышает прочность, уменьшает деформацию выводов и позволяет сваривать трудно-свариваемые материалы.
8.5 Методы и материалы для герметизации кристаллов и плат
Под герметичностью понимают способность замкнутой конструкции не пропускать газ (жидкость) .
93
Абсолютно непроницаемых конструкций не существует поэтому герметичность характеризуется допустимой
утечкой (течыо) газа (жидкости), измеряемой в единицах потока. Поток газа или жидкости через микроотверстия
выражают единицей объема при определенном давлении за определенное время, т.е. в м3•Па/с (1,32•10-4 м3•Па/с = 1
л•мкм рт. ст./с).
Корпуса полупроводниковых приборов и ИМС считаются герметичными при натекании гелия не более 1,32-10"9 м3Па/с, Герметизация является одной из важнейших заключительных операций технологического процесса производства
полупроводниковых приборов и ИМС, так как обеспечивает их надежность и долговечность при механических и
климатических воздействиях в условиях эксплуатации. Кроме того, герметизация является последней операцией сборки
полупроводниковых приборов и ИМС и от качества ее выполнения зависит выход годных изделий.
Подсчитано, что трудоемкость сборочных операций (вместе с герметизацией) некоторых массовых изделий
микроэлектроники (транзисторов, ИМС) превышает трудоемкость всех других операций. Забракованные негерметичные
приборы представляют собой довольно дорогие и почти готовые изделия, что заставляет с особой тщательностью
относиться к процессам герметизации.
Постоянное стремление к повышению компактности, миниатюризации и быстродействия электронных систем
вызывает увеличение плотности рассеиваемой мощности (особенно в ИМС), что усложняет теплоотвод от активных
элементов, вызывая дополнительные требования к конструкции корпусов и способам их герметизации. В настоящее
время установлено, что конструктивное исполнение корпусов и их герметизация не менее сложны, чем создание
электронно-дырочных переходов. Исследования показали, что проникновение в процес се эксплуатации в корпус
транзистора даже ничтожного количества влаги может вызвать нестабильность его параметров.
Такие способы герметизации корпусов полупроводниковых приборов, как заливка пластмассой, склеивание
специальными клеями, стеклоцементами, глазурями или лаками, заварка стеклом, а также различные виды сварки и
пайки, имеют свои достоинства и недостатки.
Герметизация пластмассой, например, пригодна для массового производства изделий микроэлектроники
широкого применения. Приборы в пластмассовой оболочке характеризуются низкой стоимостью, хорошим внешним
видом, групповой технологией производства. Но пластмассовая герметиза ция не обеспечивает требуемой
герметичности при испытаниях на климатические воздействия и в условиях эксплуатации.
Некоторые корпуса герметизируют, приклеивая керамическую крышку к металлокерамическому основанию
корпуса. Такая герметизация надежна, не требует дорогостоящего оборудования, но процессы нанесения и отверждения
клея довольно длительны.
Герметизацию стеклоцементами, глазурями, лаками и стеклом применяют ограниченно.
Герметизация корпусов пайкой. В производстве изделий микроэлектроники герметизацию корпусов пайкой
используют относительно редко, так как кроме, сравнительной простоты (не требуются сложные оснастка, инструмент,
оборудование; процесс выполняется без приложения значительных давлений) она имеет ряд недостатков. Так, при
герметизации пайкой необходим нагрев полупроводниковых приборов и ИМС до 200—420 °С, что ухудшает их параметры. Характерными видами брака при герметизации пайкой являются образование щелей (непропай) в соединениях,
затекание припоя и флюса внутрь корпуса, перекосы деталей, частичное несмачивание поверхностей припоем и др. Кроме
того, детали, предназначенные для пайки, должны иметь очень малые отклонения по плоскопараллельности и зазорам.
При герметизации деталей корпусов пайкой используют косвенный контактный и бесконтактный нагрев, горячий
инертный газ или газопламенный источник.
П р и п а й к е с к о с в е н н ы м к о н т а к т н ы м наг р е в о м герметизируемый корпус укладывают на
нагреватель, разогревают вместе с припоем до необходимой температуры и накрывают крышкой, а затем прижимают ее и
охлаждают корпус. Обычно такую пайку выполняют в среде защитного газа. Недостатком ее являются сложность
равномерного нагрева корпуса и необходимость тщательной подгонки посадочного места нагревателя к корпусам разных
размеров для создания хорошего теплового контакта.
П р и п а й к е с к о с в е н н ы м б е с к о н т а к т н ы м н а г р е в о м (в конвейерных газовых печах) получают
лучшие результаты, так как в этом случае повышаются качество герметизации и производительность. Однако пайка в
конвейерных печах требует большого количества сложных кассет, а сам процесс недостаточно управляем.
П а й к а в с т р у е н а г р е т о г о и н е р т н о г о г а з а получила наибольшее распространение. Этим способом,
например, герметизируют корпуса с локальным золочением деталей только в местах соединения, используя в виде
отдельной детали припой, состоящий из 99-99,5 % олова и 0,1-1,0 % висмута (сурьмы или серебра). Локальное
золочение хотя и усложняет герметизацию, но ограничивает растекание припоя и снижает расход золота. Толщина
золотого покрытия составляет не более 1,5-2 мкм.
Пайку в струе нагретого инертного газа применяют также для герметизации металлокерамических корпусов с
предварительным нанесением слоя припоя олово — висмут толщиной не менее 0,15 мм по периферии крышки. В этом
случае на корпус по периметру, соответствующему форме крышки, также нано сят слой золота. При нагреве
соединяемых деталей струей горячего газа слой припоя на крышке плавится, смачивает золотое покрытие и
герметизирует корпус.
Иногда никелевые крышки золотят в кислом электролите, используют припой слово -висмут-индий и нагрев в
струе горячего инертного газа. В процессе образования паяного соединения золотое покрытие полностью растворяется
в припое, который взаимодействует с чистой поверхностью никеля, находящегося под золотом, образуя после
кристаллизации прочное герметичное соединение. Шов представляет собой слой припоя с мелкими включениями частиц
золота и олова.
Г а з о п л а м е н н а я п а й к а с и с п о л ь з о в а н и е м п р и п о я ПОС61 и ф л ю с а, при которой крышку
корпуса нагревают подвижным водородно-кислородным пламенем, является довольно производительным процессом
герметизации (в 8-10 раз выше по сравнению с пайкой косвенным контактным нагревом). Между тем при термических
испытаниях таких корпусов на надежность они могут стать негерметичными, так как золотое покрытие крышки в зоне
шва не полностью растворяется в припое. Оставшийся слой золота при термических испытаниях и эксплуатации приборов
94
или ИМС переходит в припой постепенно и связь крышки с припоем нарушается. Слой золота, который в данном случае
применяют для улучшения смачиваемости, должен быть не более 2-3 мкм, что обеспечивает его полное растворение в
припое.
Кроме того, при герметизации полупроводниковых приборов и ИМС пайкой используют микроплазменный
нагрев.
Герметизиция корпусов контактной контурной электросваркой. Широкое внедрение в производство
контактной контурной электросварки стало возможным в связи с созданием промышленного сварочного оборудования
и разработкой новых конструкций корпусов, пригодных для герметизации этим способом.
В качестве аккумулирующей системы в установках контактной контурной электросварки обычно используется
батарея электролитических конденсаторов. Электрическая энергия, накапливаемая при заряде конденсаторов от
источника постоянного напряжения (выпрямителя), расходуется при их разряде, превращаясь в процессе сварки в
тепловую энергию.
Достоинствами этого вида сварки являются: постоянный расход электроэнергии, что обеспечивает высокую воспроизводимость результатов;
кратковременность и концентрированное тепловыделение в месте соединения, обеспечивающее минимальную зону нагрева свариваемых металлов,
непосредственно окружающую сварной шов; возможность качественного соединения разнородных металлов и сплавов, плохо свариваемых или
совсем не свариваемых другими способами.
Кроме того, конденсаторная сварка способствует выравниванию фазовой нагрузки и повьпцению коэффициента
мощности питающей электросети.
Основными элементами установки контактной контурной электросварки
(Рисунок 8.5.1)
являются
выпрямитель В, преобразующий переменный ток в постоянный,
батарея
конденсаторов С для накопления
(аккумулирования) электроэнергии и переключатель П для последовало тельного соединения батареи конденсаторов с
источником
пи
тания (выпрямителем) и сварочным трансформатором Тр. предназначенным для получения в
сварочной
цепи
больших токов при низком напряжении.
Рис 8.5.1. Электрическая схема установки контактной контурной электросварки
Накопленную в батарее конденсаторов энергию (Вт-с) определяют по формуле W = CU2 ■ 10~6/2 (где С- рабочая
емкость батареи конденсаторов, мФ; U— напряжение заряда конденсаторов, В). Из этой формулы видно, что накопленную
в конденсаторах энергию можно регулировать, изменяя их емкость, напряжение заряда или одновременно оба параметра.
При контактной электросварке соединяемые детали нагреваются теплотой, выделяющейся при прохождении через
них сварочного тока. Известно два метода нагрева деталей при контактной электросварке: сопротивлением или
сопротивлением и оплавлением.
95
9 Толстопленочная технология
Структурная схема типового технологического процесса. Пасты для проводящих, резисторных и
диэлектрических элементов, их характеристики. Методы формирования рисунка. Трафаретная печать.
Технология нанесения и вжигания паст. Корректировка номиналов то лето пленочных элементов.
9.1 Пасты для проводящих, резисторных и диэлектрических элементов, их характеристики.
Технология нанесения и вжигания паст.
В качестве материала для изготовления толстопленочных элементов принимаются резистивные, диэлектрические и
проводящие пасты. Они представляют собой суспензию порошков наполнителя и стекла в какой-либо органической
связующей жидкости или растворе. Наполнитель является основой пасты и придает пленкам необходимые резистивные,
проводящие или диэлектрические свойства.
Основные требования, предъявляемые к пастам: возможность нанесения их через трафарет и термообработка
(вжигание); воспроиэводимость свойств; хорошая адгезия к подложке; совместимость с другими элементами;
соответствующие электрические свойства; способность к пайке и термокомпрессии.
Плата должна быть определенной величиной текучести. Слишком большая текучесть приводит к растеканию пасты
и искажению рисунка, а «алая текучесть - к плохому продавливанию пасты через трафарет.
В качестве наполнителей проводниковых паст используются порошки металлов и сплавов с размером частиц не
более 5 мкм. Размеры и форма частиц оказывают сильное влияние на физические и электрические свойства толстых
пленок. Наполнители наст должны обладать крайне низкой химической активностью при высоких температурах
термообработки в оксидирующей среде и при соприкосновении с химически активным стеклом, а также должны быть
восприимчивы ft устойчивы (нерастворимы) к воздействий припоя, применяемого при монтаже пайкой. Это объясняется
применение в качестве наполнителей благородных металлов: золота, серебра, сплавов золото-палладий, золото-платина,.
серебро-палладий и др. Сравнительная оценка проводниковых паст на основе различных наполнителей приведена В
таблице 9.1.1.
Свойства проводниковых паст
Таблица 9.1.1
Наполнитель
Стоимость
Электропроводность
Адгезия
Au
Pt – Au
Au – Pd
Ag – Pd
Ag
4
5
3
2
1
3
5
4
2
1
4
3
3
2
1
Устойчивость к
расплавленному припою
5
3
2
3
5
Контакт с
резисторами
5
1
3
2
4
Миграция
2
1
2
3
5
Оценка дана по 5 - балльной системе, 1 - высший балл.
Наряду с приведенными В таблице 9.1.1 наполнителями для проводниковых паст применяются неблагородные
металлы: медь, никель, алюминий, вольфрам, молибден. Они обеспечивают не только меньшую стоимость паст, но и в ряде
случаев лучшие параметры и стабильность при высоких температурах. Медь, например, является единственным металлом
с высокой электропроводностью, к которому можно подсоединять внешние выводы как сваркой, так и пайкой. Кроме того,
медь имеет хорошую адгезию к алюмокерамическим подложкам, высокую теплопроводность, стойкость к выщелачиванию,
и радиации, хорошие свойства в диапазоне СВЧ.
В качестве наполнителей резистивных паст применяется серебро, золото, палладий, платина, рений, окислы таллия,
рутения, рения, палладия, а также различные композиции: серебро-палладий-оксид палладия, серебро-оксид рутения,
висмут-рутений, рутений-иридий, платина-оксид иридия и др. Толстопленочные резисторы имеют номиналы
сопротивлений от 1 до 10 Ом, удельное сопротивление от 1 до 10 7 Ом/а и широкий диапазон значений ТКС.
При изготовлении диэлектрических паст для конденсаторов в качестве наполнителей применяют смеси порошков
керамических материалов и флюсов, а также стекла и ферроэлектрических материалов. Например, пасты на основе
композиции титанат бария - оксид титана -оксид алюминия - легкоплавкое стекло имеют диэлектрическую проницаемость
от 10 до 2000.
Диэлектрики для межуровневой изоляции проводников изготавливают на основе стеклокерамических материалов.
Но сравнению с диэлектриками для конденсаторов они обладают меньшей диэлектрической проницаемостью.
В качестве постоянного связующего в состав паст входят стекла, которые не удаляются после формирования пленки
и остаются в готовом пленочном элементе. В проводниковой пасте, стекло служит для удерживания в контакте зерен
наполнителя и для обеспечения адгезии толстой пленки к подложке. При выборе состава стекла необходимо учитывать
зависимость его вязкости от температуры, смачивание подложки, химическую активность и коэффициент термического
расширения. Эти свойства стекла влияют на режим термообработки, на образование механических связей между зернами
96
металлического наполнителя, на удельное сопротивление пленки и процессы подсоединения выводов к контактным
площадкам. От состава стекла в значительной степени зависит стабильность параметров резисторов. В диэлектрике,
применяемом для межуровневой изоляции проводников, постоянное связующее является одновременно и основным функциональным компонентом. В диэлектрики конденсаторов стекло не должно вносить дефектов, приводящих к
возникновению коротких замыканий между обкладками. В качестве постоянного связующего применяются легкоплавкие
стекла: свинцово-боросиликатные, цинкоборо-силикатные, кадмиевые.
В качестве органических связующих и растворителей паст может применяться широкий набор материалов:
этилцеллюдоза, воски, ланолин, вазелиновое масло, циклогексан, жидкие смолы, органические растворители.
Органические связующие и растворители вводятся для обеспечения равномерного распределения частиц порошков
различных компонентов в процессе приготовления пасты, для получения определенной консистенции и для придания
пасте необходимой вязкости. При нанесении пасты на подложку органические связующие полностью удаляются в
процессе термообработки. При неполном удалении органических связующих в составе диэлектрика, например, остается углерод, который резко повышает электропроводность.
Специальные добавки вводят в состав паст для улучшения адгезии, паяемости, для придания пастам тиксотропности
и др.
ТиксотроПностыо называется способность паст под действием механического давления увеличивать текучесть и
затем после прекращения воздействия давления снова загустевать. Для придания пастам тиксотропных свойств в их состав
вводят высокомолекулярные соединения, например, фуранкарбоновую или терефталевую кислоты.
9.2 Методы формирования рисунка. Трафаретная печать.
Проводники. Проводники толстопленочных схем выполняются путем нанесения через трафареты проводниковых
паст.
Проводниковые пасты должны обеспечивать получение следующих характеристик композиции (после вжиганин):
1.
Высокую удельную проводимость во избежание заметного падения напряжения и нагрева.
2.
Высокую адгезию пленки с подложкой, поскольку непосредственно к ней присоединяются выводы и
навесные элементы.
3.
Возможность присоединения к поверхностям проводников монтажных проводов и навесных элементов
пайкой или сваркой.
4.
Композиция должна быть устойчива к воздействиям, связанным с выполнением технологических процессов,
и выдерживать заданные условия эксплуатации.
Проектирование топологии толстопленочных проводников во многом аналогично проектированию печатных плат.
Проводники должны изготавливаться предельно короткими, чтобы уменьшить сопротивление схемы. Поверхностное
сопротивление толстопленочных проводников должно изменяться в пределах от 0,005 Ом/а до 0,1 Ом/а в зависимости от
типа применяемой пасты. Для нанесения проводников необходимо использовать только одну сторону подложки.
Количество пересечений должно быть минимальным, поскольку для их создания необходимы две дополнительные
операции нанесения и вжигания пленок (нанесение межслойного диэлектрика и второго проводящего слоя). Для
современной технологии стандартной шириной проводника считается 0,25 мм, однако, если это необходимо, можно
изготавливать полоски шириной до 0,125 мм. Такие же значения допускаются и для расстояний между проводниками.
Толщина слоя проводника, например, на основе композиций палладий-серебро составляет 10-25 мкм, минимальная
ширина (длина) проводника колеблется в пределах 0,15-0,20 мм при нанесении пасты на керамику и 0,20-0,30 мм при
нанесении на слой диэлектрика. Минимальное расстояние между проводниковыми элементами 0,05-0,20 мм в зависимости
от рецептурного состава пасты.
Резисторы. Резистивные пасты изготавливаются на основе более высокоомных функциональных материалов,
обычно композиций: серебро-палладий-окись палладия, серебро-окись рутения, висмут-рутений, рутений-иридий, платинаокись иридия. Резистивные пасты, изготавливаемые на основе композиции палладий-серебро обеспечивают номинальные
сопротивления резисторов от 25 Ом до 1 МОм. Сопротивление квадрата резистивной пленки соответствует ряду значений:
5,100,500,1000,3000,6000,20000,50000 Ом/а . Температурный коэффициент сопротивления подобных паст не превышает
800.10-6 1/град в интервале температур -60...+125 °С. Толщина резистивных пленок после вжигания составляет примерно
18-25 мкм.
Учет отношения длины пленочного резистора L к его ширине В является очень важным при проектировании
толстопленочных резисторов. Отношение сторон L/B или B/L никогда не должно превышать 10. Его лучше выбирать
равным 3 или меньше. При проектировании схемы следует избегать зигзагообразных резисторов или резисторов в форме
меандра. При такой геометрии на резисторе образуются области перегрева, а сопротивление резистора трудно подгонять к
номиналу. Минимальный размер резистора должен быть порядка 0,5x0,5 км, однако резисторы должны быть по
возможности большими для увеличения процента выхода годных и облегчения их последующей подгонки. Для
обеспечения надежного электрического контакта резистор должен быть уже проводника на 0,25 мм (по 0,125 мм с каждой
стороны), а длина перекрытия резистора проводником должна быть не меньше 0,125 мм (Рисунок 2.1).
Минимальное расстояние от края контактной площадки до края резистора должно быть не меньше 0,25 мм.
Расчет резисторов производится следующим образом. Номинальное значение сопротивления резистора определяется
по формуле
97
R=paKф (9.2.1)
где pa - сопротивление квадрата резистивной пленки, Ом/а;
K=l/i, - коэффициент формы.
Рисунок 9.2.1. Толстопленочный резистор; I - резистивная пленка, 2 - контактная площадка.
Ширина резистора
B
K p    a
0  R 2
(9.2.2)
где Р - расчетное значение мощности рассеяния резистора, Вт; Р о - максимальная удельная мощность рассеяния
резистивной пленки, Вт/мм2; Кр - коэффициент запаса мощности, учитывающий подгонку резистора, КР = 2п/100 + 1; п допустимое отрицательное отклонение сопротивления резистора от номинального до подгонки, %.
Максимальное значение Р принимается равна 52%. Расчетная длина резистора определяется из соотношения для Кф
и по формуле (2.2). Расчет резисторов, имеющих К ф<1, начинают с определения длины, заменяя ширину В в формуле (2.2)
на длину l .
Удельная мощность рассеяния резисторов на основе композиции палладий-серебро обычно принимается равной 3
Вт/сиг, однако толстопленочные резисторы могут быть нагружены и сильнее, до 6 Вт/ см и более (для паст других
составов), при условии правильной организации охлаждения.
Конденсаторы. Диэлектрические пленки в толстопленочных микросхемах применяются в качестве диэлектриков
конденсаторов, межслойной изоляции, защитных слоев.
Диэлектрические пасты для конденсаторов изготавливаются на основе смеси керамических материалов и флюсов.
Толщина диэлектрических толстых пленок для конденсаторов после термической обработки составляет 40-60 мкм.
Используя пленки, обеспечивающие удельную емкость Со= 3700 пФ/см 2, изготавливают конденсаторы с
номинальной емкостью от 500 до 300 пФ, а пленки с Со = 10000 пФ/см2 позволяют производить конденсаторы в диапазоне
от 100 до 2500 пФ. В большинстве толстопленочных гибридных схем и микросборок используются многослойные
дискретные керамические конденсаторы, поскольку на площади, необходимой для нанесения конденсатора с номиналом
300 пФ, можно расположить навесной многослойный конденсатор на 10000 пФ. Погрешность номинальной емкости
конденсаторов обычно составляет ±15%. Пробивное напряжение не менее 150 В.
Величина диэлектрической проницаемости для диэлектрических паст конденсаторов на основе композиции титанат
бария - окись титана - окись алюминия - легкоплавкое стекло составляет от 10 до 2000.
Исходя из основного соотношения, для емкости конденсатора
C
8,85    A( N  1) 103
(пФ)
d
(9.2.2)
где  - диэлектрическая постоянная; А - площадь, мм2; N -число обкладок; d - толщина диэлектрической пленки, мм,
можно вычислить площадь, необходимую для изготовления конденсатора.
Расчетная площадь верхней обкладки конденсатора определяется по формуле
S=C/C0
(9.2.4)
где С - номинальное заданное значение емкости; С0 -удельная емкость.
Нижняя обкладка конденсатора должна выступать за край верхней не менее, чем на 0,3 мм, пленка диэлектрика - за
край нижней обкладки не менее, чем на 0,2 мм. Пасты верхних обкладок должны быть инертны к лужению.
98
10. 1 Классификация физико-химических методов обработки и очистки. Источники и виды
загрезнений ИЭОТ. Назначение операций технохимической обработки. Химическое и
электрохимическое травление пластин. Анизотропное травление п/п. Контроль качества очистки
поверхности.
В соответствии с применяемыми средствами очистку делят на жидкостную и сухую.
Жидкостная о ч и с т к а выполняется органическими -растворителями; разнообразными составами,
содержащими щелочи, кислоты, пероксид, и другие реактивы, водой. Подобрать жидкое средство,
одновременно удаляющее все возможные по -' верхностные загрязнения, весьма сложно, поэтому
жидкостная ' очистка включает ряд последовательных операций. Нерастворимые в воде органические жировые
загрязнения делают поверхность гидрофобной, т. е. плохо смачиваемой водой и большинством растворов. Для
равномерной очистки поверхность подложек (пластин) необходимо перевести в гидрофильное, т. е. хорошо
смачиваемое водой, состояние. Операция удаления жировых за-
Рисунок 10.1.1 Классификация методов очистки и травления пластин и подложек
грязнений, сопровождаемая переводом поверхности из гидрофобного состояния в гидрофильное, называется
обезжириванием. Обезжиривание — первая операция при жидкостной очистке.
Сухая о ч и с т к а применяется на этапе формирования элементов и межэлементных соединений микросхем и,
как правило, выполняется непосредственно перед проведением ответственных технологических процессов (напыление
пленок, литография) или совмещена, т. е. проводится в одном оборудовании, с последую щей обработкой
(например, с получением термического оксида, с эпитаксиальным наращиванием полупроводниковых слоев).
Методы сухой очистки исключают необходимость применения дорогостоящих и опасных в работе жидких
реактивов, а также проблемы межоперационного хранения пластин и подложек и очистки сточных вод, которые
являются немаловажными при использовании жидких средств очистки. Кроме того, процессы сухой очистки
более управляемы и легче поддаются автоматиза ции.
С точки зрения механизма процессов все методы очистки можно условно разделить на физические и
химические (см. рисунок 10.1.1). При физических методах загрязнения удаляются простым растворением, отжигом,
обработкой поверхности ускоренными до больших энергий ионами инертных газов. В тех случаях, когда за грязнения нельзя удалить физическими методами, применяют химические методы, при которых загрязнения
удаляют: их замещением легко удаляемыми веществами, переводом в легко растворимые комплексные
соединения или травлением пластин (подложек) . Травление сопровождается удалением поверхностного слоя
вместе с имеющимися на поверхности загрязнениями.
На рисунке 10.1.1 мы выделили травление, чтобы подчеркнуть, что в технологии микросхем (как будет ясно
далее) травление не всегда имеет целью очистку. Оно применяется для размерной обработки, удаления слоя с
нарушенной механическими обработками • структурой, локального удаления слоев различных материалов при
формировании топологии микросхем, выявления поверхностных дефектов полупроводников и др.
10.2 Плазменные
методы удаления материала с поверхности твердого тела. Сущность и
классификация методов обработки поверхности
99
Плазмохимическое травление, как и ионное, проводят в вакуумных установках и также используют плазму газового
разряда. Плазмохимическое травление (в отличие от чисто физического распыления при ионном травлении) имеет
химическую природу. Оно основано на использовании обладающих большой реакционной способностью химически активных частиц, получаемых в плазме газового разряда.
Процесс плазмохимического травления можно разделить на ряд этапов: доставка плазмообразующего газа, пара или
смеси в камеру вакуумной установки; образование химически активных частиц в газовом разряде; доставка их к
обрабатываемой поверхности; химические реакции с образованием легко летучих соединений; десорбция и удаление
образующихся летучих соединений через откачную -систему вакуумной установки.
Плазмообразующие газы выбирают исходя из свойств обрабатываемого материала. Для травления кремния и
некоторых металлов применяют галогеносодержащие молекулярные газы, так как именно в их плазме образуются
необходимые химически активные частицы, переводящие поверхностные слои в летучие соединения. Для разбавления и
обеспечения требуемых параметров травления в плазму дополнительно вводят аргон, кислород, азот. Наиболее часто для
травления кремния и его соединений применяют смесь фреона-14 CF4 с (2 ... 8)% кислорода. Присутствие кислорода
повышает скорость травления и качество очистки. Фреон-14 относительно инертен, при любых температурах он не взаимодействует с кремнием. В плазме химически активные частицы образуются в результате взаимодействия молекул газа с
ускоренными электронами, которые в отличие от тяжелых частиц обладают существенно большими энергиями. В плазме
фреона-14 с кислородом образование химически активных частиц — возбужденного атома фтора F*, положительно
заряженного радикала CF3+, атомарного кислорода О — сопровождается реакциями
(10.2.1)
Травление кремния и его соединений сопровождается реакциями:
(10.2.2)
Тетрафторид кремния SiF4 — летучее соединение, легко удаляемое из рабочей камеры установки откачкой. На
поверхности кремниевых пластин возможно образование углерода:
Si + CF3+ => C + 3F* + Si + e.
(20.2.3)
Присутствие в плазме кислорода способствует очистке поверхности от углерода за счет его оксидирования до СО или
СО2. Кислород также способствует повышению концентрации возбужденных атомов фтора в результате образования
радикалов COF* и их диссоциации:
COF* => F* + CO  .
(10.2.4)
Это увеличивает скорость травления кремния. Атомарный кислород также очищает поверхность от органических
загрязнений. При плазмохимическом травлении физическое распыление практически отсутствует, так как энергия ионов не
превышает 100 эВ. В зависимости от конструкции установок различают плазменное и радикальное плазмохимическое
травление.
Плазменное травление осуществляют непосредственно в плазме газового травления, т. е. с участием всех
химически активных частиц, как с большим (F* — 0,1 ... 1 с), так и с малым, временем жизни ('CF+з — около 10 мкс). В
камерах диодного типа (Рисунок 10.2.1) пластины кремния помещают на нижнем медленно вращающемся электроде
(0,1 об/с). Пластины электрически, изолированы от электрода, чтобы исключить ионную бомбардировку.
Радикальное плазм о химическое травление проводят в области вакуумной камеры отделенной от плазмы газового
разряда перфорированным металлическим экраном (Рисунок 10.2.2) или магнитными электрическими полями. ВЧплазма возбуждается между цилиндрическими поверхностями рабочей камеры и экрана. Травление осуществляется
только нейтральными химически активными атомами О или радикалами F* с большим временем жизни,
проникающими из плазмы в зону расположения пластин. Заряженные частицы плазмы не могут попасть к поверхности
пл.астин через отверстия цилиндрического экрана. В зоне,, свободной от заряженных частиц, возбужденные атомы
фтора и атомарный кислород, многократно соударяясь с молекулами рабочего газа, движутся разупорядоченно, что
обеспечивает высокую однородность травления от пластины к пластине и по площади каждой пластины.
Так как возбужденные атомы и свободные радикалы отличаются высокой реакционной способностью, то
эффективность травления существенно повышается. По сравнению с ионным травлением при одинаковых параметрах
разряда скорость возрастаег более чем на порядок. Благодаря электрической активации газов илазмохимическое
травление проводится при существенно меньших температурах 100... 300 °С по сравнению с обычным газовым
травлением. Плазмохимическое травление из-за химического механизма обладает высокой избирательностью
относительно раз-
100
Рисунок 10.2.1. Схема вакуумной камеры диодного типа для плазмохимического травления непосредственно в
плазме:
1 — подача рабочего газа; 2— вакуумная камера; 3 — электрод Рисунок 10.2.1. Схема вакуумной камеры диодного
типа для плазмохимического травления непосредственно в плазме:
Рисунок 10.2.2. Схема вакуумной камеры для радикального плазмохимического травления:
1 — кварцевая камера; 2— перфорированный цилиндр; 3 — кассета с пластинами (подложками); 4 — ВЧиндуктор; 5—подача рабочего газа; 6 — откачной патрубок
личных материалов (например, F+ травит кремний значительно быстрее, чем диоксид кремния).
Благодаря невысокой энергии частиц, поступающих на обрабатываемую поверхность, радиационные дефекты
незначительны.
Химический механизм травления обусловливает наличие бою> вой скорости травления, что является недостатком
при локальной обработке. К недостаткам плазмохимического травления можно также отнести: ограниченное
количество соединений для получения в плазме химически активных частиц, обеспечивающих образование летучих
веществ; сложность химических реакций, протекающих в плазме и на обрабатываемой поверхности; большое число
взаимосвязанных технологических и конструктивных параметров. Последние трудности преодолеваются по мере
изучения и освоения процессов.
Реактивное ионное травление. Реактивное ионное (называемое также ионно-химическим) травление по механизму
процесса является комбинированным методом. Удаление обрабатываемого материала происходит в результате его
распыления ускоренными ионами и образования легколетучих соединений при взаимодействии с химически активными
частицами плазмы. От плазмохимического травления оно отличается тем, что энергия ионов больше и достаточна для
распыления, а от ионного травления — тем, что используется не инертная, а содержащая химически активные частицы
плазма. При этом физическое распыление интенсифицирует химические реакции, а химические реакции, ослабляя межатомные связи на обрабатываемой поверхности, увеличивают скорости распыления.
По аналогии с ионным и плазмохимическим травлением реактивное ионное травление может выполняться при
расположении обрабатываемых пластин (подложек) в плазме газового разряда (реактивное ионно-плазменное
травление) или в вакууме и подвергаться воздействию пучка ионов, полученных в автономно расположенном источнике
(реактивное ионно-лучевое травление). Для реактивного ионно-плазменного и ионно-лучевого травления применяют те
же рабочие газы, что и для плазмохимического травления.
Оборудование для реактивного ионно-плазменного травления аналогично установкам ионно-плазменного
травления. Пластины располагают на электроде, не изолированном от нижнего электрода (см. Рисунок 2.20).
Реактивное ионно-лучевое травление выполняют в вакуумных установках, аналогичных установкам для ионнолучевого травления (см. Рисунок 2.19).
Благодаря химическим реакциям реактивное ионное травление (и плазменное, и лучевое) обладает по сравнению с
ионно-лучевым травлением большими скоростями (в 3 ... 15 раз) и избирательностью травления (в 2... 10 раз), а по
сравнению с плазмохимическим травлением меньшими скоростью травления (в 2... 3 раза) и боковой составляющей
скорости при локальном травлении. Для уменьшения радиационных дефектов обрабатываемых образцов процессы
травления проводят в режимах, обеспечивающих превышение скорости удаления слоев за счет химических реакций над
скоростями распространения дефектов, образующихся .вследствие ионной бомбардировки.
10.3 Очистка поверхности газовым травлением
Сущность процесса заключается в химическом взаимодействии обрабатываемого материала с газообраз-ным
веществом и образовании при этом легко удаляемых летучих соединений. Загрязнения при газовом травлении
удаляются вместе с поверхностным слоем пластин или подложек.
В качестве газов-реагентов для травления кремниевых пластин можно применять галогены, галогеноводороды,
101
соединения серы, пары воды. Небольшие количества этих газов добавляют к газу-носителю (водороду или гелию) и
транспортируют в камеру установки.
Травление кремния хлористым водородом широко используется перед выращиванием на пластинах кремниевых
слоев
Si (тв.) + 4НС1 (газ) = SiCl4 (газ) + 2Н2 (газ). (10.3.1)
Пары хлористого водорода доставляются водородом в реакционную камеру установки эпитаксиального
наращивания, где расположены кремниевые пластины, нагретые до температуры 1150... ... 1250 °С.
Газовое травление сапфира водородом, в отличие от жидкостного, позволяет получать поверхность подложек,
свободную от механически нарушенного слоя и от микропримесей, что очень важно для последующего выращивания
на них слоев кремния. Травление сапфира сопровождается химической реакцией
А12О3 (тв.) + 2Н2 (газ) == А12О (газ) + Н2О (газ).
(10.3.2)
В интервале температур 1200... 1600 °С травление сапфира водородом полирующее.
Газовое травление по сравнению с жидкостным позволяет по- • лучать более чистые поверхности. Во многих
случаях газовое травление имеет ограниченное применение из-за высоких температур обработки и необходимости
использования особо чистых газов. Однако в тех случаях, когда газовое травление совместимо с последующим
процессом (например, с выращиванием на кремниевых пластинах кремниевых слоев), его применение целесообразно.
11 Oсновные методы производства волоконных световодов
Принципы и особенности построения ВОПС (волоконно-оптической системы передач).
Одномодовые световоды. Многомодовые световоды с и ступенчатым профилем. Волоконные
световоды со специальными свойствами. Полимерные световоды. Модифицированный процесс
EVD (MCVD)
Одномодовые световоды. Многомодовые световоды с и ступенчатым профилем.
Волоконные световоды со специальными свойствами. Полимерные световоды.
11.1
По назначению волоконные световоды можно разделить на пять основных групп:
1. О д н о м о д о в ы е с в е т о в о д ы для скоростных систем передачи и фазовых волоконно-оптических
датчиков (ВОД). Эти световоды отличаются предельно низ кими потерями (0,2 ... 1 дБ/км) и широкой
полосой пропускания (1 ...100 ГГц- к м ) . Сюда же можно отнести волокна с сохранением поляризации,
необходимые для целого ряда датчиков и перспективных систем передачи с когерентным приемом. Типич ные
размеры световодов первой группы: диаметр сердцевины 5... 10 мкм, оболочки 125 мкм, числовая апертура
0,15 ... 0,2.
2. Многомодовые с в е т о в о д ы
с градиентным
профилем
показателя
преломления,
предназначенные для использования в системах передачи нарасстояния в несколько километров с полосой
пропускания 100 ... 1000 МГц-км. Потери в таких волокнах лежат в пределах 0,5 ... 5 дБ/км, стандартные
размеры: диаметр сердцевины 50 мкм, оболочки 125 мкм, типичное значение числовой апертуры около 0,2.
3. М н о г о м о д о в ы е
световоды
со
ступенчатым
профилем
показателя преломления,
предназначенные для использования в локальных сетях, объектовых системах передачи и различных ВОД, с весьма
умеренной полосой пропускания (10... 100МГц-км) и потерями 3 ... 10 дБ/км. Такие световоды имеют повышенную
числовую апертуру (0,3 ... 0,6) и диаметр сердцевины 80 ... 400 мк, допускающие эффективное сопряжение с дешевыми
и надежными источниками излучения.
4. В о л о к о н н ы е с в е т о в о д ы со с п е ц и а л ь н ы м и с в о й с т в а м и , к которым относятся волокна
целевого назначения для датчиков и других волоконно-оптических функциональных устройств: лазерные волокна
(см. гл. 5), активированные редкоземельными ионами, волокна с пьезоэлектрической или магнитострикционной
оболочкой и т. п.
5. П о л и м е р н ы е
световоды
со ступенчатым или градиентным профилем показателя преломления,
отличающиеся высокой гибкостью, прочностью и низкой стоимостью. Область их применения ограничивается
высокими потерями (100…500 дБ/км), поэтому используются они для передачи данных внутри ЭВМ, в роботах,в
автомобильных датчиках и т. п.
6. В о л о к н а для с р е д н е г о ИК д и а п а з о н а (Х — 2 ... 50 мкм) со сверхнизкими потерями.
Световоды первой, второй и отчасти третьей групп имеют одинаковую композицию и изготавливаются из
кварцевого стекла, легированного различными добавками, изменяющими показатель преломления в нужную сторону.
102
Кварцевое стекло имеет высокие однородность и чистоту, что обусловливает малые потери на рассеяние и поглощение
(см. § 4.6), отличается высокой температурой плавления, химической и радиационной стойкостью. Технология
производства высококачественных кварцевых волокон, как будет видно ниже, достаточно сложна, но доведена до
промышленного уровня, обеспечивающего массовый выпуск без снижения качества.
Требования к характеристикам световодов третьей и четвертой групп не являются предельно жесткими, поэтому
они изготавливаются из более дешевых материалов (многокомпонентные стекла) и по более простой технологии.
Производство полимерных волокон является самым простым и дешевым в рассматриваемом ряду. Производство
волокон шестой группы требует освоения новых материалов и технологий и находится в лабораторной стадии.
Наиболее распространенные в мировой практике способы изготовления высококачественных кварцевых
волоконных световодов являются разновидности процесса химического осаждения основного стеклообразующего
окисла SiO2 и легирующих окислов из парогазовой смеси CVD процесса (Chemical Vapour Deposition). Галоиды
кремния, германия, бора, фосфора и т. п., входящие в состав парогазовой смеси, при высокой температуре
реагируют с кислородом:
SiCl4 + O2 => SiO2 + 2Cl2
GeCl4 + O2 => GeO2 +2Cl2
(11.1.1)
4BBr3 + 3O2 => 2B2O3 + 6Br3
4POCl3 + 3O22 => 2P2O5 + 6Cl2
В результате реакции образуется мелкодисперсная масса, напоминающая белую сажу, которая после
прославления превращается в прозрачное стекло, содержащее около 90 % SiO2. Добавки легирующих окислов
меняют коэффициент преломления в нужную сторону в соответствии с зависимостями, приведенными на рисунок 4.31.
Содержание добавок в стекле регулируется в ходе процесса путем изменения состава парогазовой смеси галоидов,
концентрации ее компонентов. Из рисунка 11.1.1 видно, что добавки окислов германия и фосфора повышают
показатель преломления стекла, а добавка окиси бора снижает его.
Рисунок 11.1.1. Влияние легирующих окислов на коэффициент преломления
Минимальными потерями в области 1,3 и 1,5 мкм обладают кварцевые стекла, не содержащие бора, поэтому в
последние годы в качестве присадки, снижающей показатель преломления, используется фтор, образующийся при
окислении фреона CCl2F2 или фтористого углерода СF4. Естественно, что исходные компоненты процесса CVD должны быть
высокой химической чистоты.
Во всех разновидностях процесса CVD производство волоконных световодов разделяется на две основные стадии. В
первой стадии — изготовлении заготовки для вытяжки волокна — проявляются различия перечисленных вариантов, тогда
как вторая стадия — вытяжка волокна из заготовки — одинакова по технологии и оборудованию для всех вариантов.
Параметры заготовки во многом определяют характеристики волоконного световода, вытянутого из нее. Тип световода —
одномодовый, многомодовый градиентный или ступенчатый — полностью определяется профилем показателя
преломления заготовки. Все варианты процесса CVD позволяют организовать гибкое производство с быстрой
перестройкой с одного типа световода на другой. Рассмотрим подробнее наиболее распространенный в настоящее время
технологический метод.
11.2 Модифицированный процесс EVD (MCVD)
В этом способе заготовка изготавливается осаждением стеклообразующих окислов на внутреннюю поверхность
кварцевой опорной трубы. Установка для производства заготовок методом MCVD схематически изображена на рисунке
11.2.1. В ней можно выделить три основных функциональных блока: блок формирования парогазовой смеси,
тепломеханический станок, систему управления и контроля параметров процесса. Первыми операциями при производстве
являются контроль и отбор опорных кварцевых труб, которые при вытяжке трансформируются в оболочку волоконного
световода. Типовые размеры опорных труб: внешний диаметр 20 ... 25 мм, внутренний диаметр 16 ... 20 мм, длина
около 1 м.
Опорная труба помещается в тепломеханический станок, в котором она вращается вокруг продольной оси со
103
скоростью порядка 60 об/мин. Вдоль вращающейся опорной трубы со скоростью 20 см/мин перемещается кислородноводородная горелка. В начале процесса производится полировка трубы в пламени горелки при температуре около 1600
"С, при которой оплавляются имеющиеся микротрещины. Парогазовая смесь образуется при прокачке газа — носителя
(кислорода или инертных газов) через смесители, заполненные жидкими галоидами кремния, германия и т. п. Состав смеси
и закон применения состава во времени в ходе процесса MCVD зависят от типа изготавливаемого световода (одномодовый,
градиентный, ступенчатый) и формируется под управлением ЭВМ по заданной программе
Рисунок 11.2.1. Установка для производства заготовок методом MCVD:
1 - смеситель с жидким SiСl2; 2 - один из смесителей с легирующим галоидом; 3—вентили; 4—опорная трубка; 5—
вращающиеся патроны; б—кислородно-водородная горелка; 7—система откачки и очистки продуктов реакции
Парогазовая смесь поступает внутрь опорной трубки, и в горячей зоне с температурой 1500 ...1700 °С,
перемещающейся вдоль трубки вместе с движением горелки, происходит осаждение окислов 5Юг, СеО2 и других в виде
ультрачистого мелкодисперсионного порошка. При последующем движении горелки вдоль трубки порошок
проплавляется, превращаясь в слой стекла толщиной 1 ... 10 мкм. Легированное кварцевое стекло, получающееся в
результате осаждения, является исключительно чистым в силу высокой чистоты исходных компонентов. Кроме того, в
процессе MCVD происходит химическая осушка реагирующих материалов и осаждаемых слоев путем реакции
2Н2О + 2С12 => 4НС1 + О2
(4.131)
Хлор всегда присутствует в парогазовой смеси как продукт реакции окисления тетрахлоридов кремния и германия. В
результате осажденное стекло содержит значительно меньшее число гидроксильных ионов ОН, чем опорная труба. По этой
причине потери на поглощение в используемых спектральных диапазонах (см. § 4.6) в осажденном стекле существенно
меньше, чем в опорной трубе, и для снижения этих потерь в световоде в заготовке формируется внутренняя оболочка. Для
этого первые несколько слоев (около 20) делаются с показателем преломления, равным показателю преломления трубы
или несколько меньшим. Парогазовая смесь, вводимая в трубы, во время осаждения этих слоев содержит пары SiCl4 с
добавкой ВВr3, что предпочтительнее фреона. Последующие слои формируют сердцевину будущего световода. Для
градиентных световодов показатель преломления увеличивается от слоя к слою по заданному закону, близкому к
параболическому; заготовки для волокон со ступенчатым профилем имеют однородную сердцевину с показателем
преломления большим, чем в оболочке. Общее число слоев в сердцевине обычно равно 50 ... 80.
Как правило, для повышения показателя преломления используется только GeО2, однако температура
осаждения его велика и, чтобы исключить деформацию опорной трубы, температуру осаждения снижают добавкой в
парогазовую смесь РОСl3. Поскольку наличие в стекле окисла Р2О5 увеличивает поглощение в диапазоне длин волн 1,5 ...
1,7 мкм (поглощение на ионах Р—ОН), его концентрация не должна превышать 0 ,2 % молярных. При этом температура
осаждения снижается до 1650 °С.
После осаждения заданного программой количества слоев температура горячей зоны увеличивается до 1900 ...
2100 "С, труба размягчается и «схлопывается» под действием поверхностных сил, превращаясь в сплошной стеклянный
цилиндр-заготовку. В сечении заготовка представляет собой увеличенную в 100 ... 300 раз структуру волоконного
световода с соответствующим профилем показателя преломления.
Специфика процесса MCVD такова, что профиль показателя преломления заготовки всегда отличается от желаемого
по двум причинам. Первая состоит в том, что показатель преломления каждого слоя постоянен, поэтому профиль его в
заготовке есть ступенчатая аппроксимация заданной функции. Вторая вызвана тем, что при температуре схлопывания,
достаточно высокой: 1900...2100 °С, последние слои частично испаряются, причем скорость испарения ОеСЬ выше, чем
скорость испарения 5Ю2- В результате в профиле показателя преломления заготовки в центре ее образуется провал,
который сохраняется и в волокне (рисунок 11.2.2).
Даже из приведенного здесь краткого описания процесса следует, что эффективное производство заготовок для
высококачественных световодов с высокой воспроизводимостью параметров возможно только при условии полной
автоматизации процесса. На рисунке 11.2.3 схематически изображена обобщенная по публикациям система управления
процессом MCVD. Система предназначена для управления рядом установок, производящих одновременно заготовки для
104
световодов различныхтипов. Центральный компьютер с общесистемными полномочиями связан с местными
микропроцессорами на каждой установке. К местным микропроцессорам с локальными полномочиями подключены
контроллеры, управляющие параметрами процесса: составом и скоростью потока парогазовой смеси, вращением опорной
трубы, скоростью движения горелки вдоль трубы, температурой в горячей зоне трубы, откачкой и очисткой продуктов реакции. Задание на каждую установку вводится через центральный компьютер, через него же выводится информация о ходе
процесса на каждой установке. Система является очень гибкой и быстро перестраиваемой.
Гибкость процесса MCVD позволяет использовать его для производства волоконных световодов, сохраняющих
поляризацию. Изготовление заготовки для такого волокна ведется по следующей программе: при осаждении первых 50
слоев, соответствующих внутренней оболочке, опорная труба с интервалом в 1 ... 2 с поворачивается на 180 ° вокруг
продольной оси, затем в обычном режиме при равномерном вращении трубы вокруг оси осаждаются слои с повышенным
показателем преломления, формирующие сердцевину. При схлопывании анизотропные напряжения во внутренней
Рисунок 11.2.2. Профиль показателя преломления волокна, изготовленного по методу MCVD
Рисунок 11.2.3. Схема управления процессом производства по методу МСУЭ:
1 — контроллер состава смеси; 2—контроллер скорости потока смеси; 3 — контроллер вращения опорной
трубы; 4 — контроллер движения горелки; 5 — контроллер температуры горячей зоны; 6 — контроллер управления
горелкой; 7 — контроллер откачки и очистки продуктов реакции
оболочке, которая получается эллиптической в сечении (рисунок 4.35), приводят к дву-лучепреломлению в
сердцевине заготовки, а затем после вытяжки — ив сердцевине волокна.
Заготовки, изготовленные по методу МСУБ, обладают чрезвычайно высокими показателями по геометрическим,
механическим и оптическим параметрам. Гибкость этого метода очевидна: на одном технологическом оборудовании путем
изменения программы он позволяет производить заготовки для волокон разных типов (одно-модовых, одномодовых с
сохранением поляризации, многомодовых градиентных и ступенчатых). Именно поэтому этот процесс доведен до
высокого промышленного уровня и используется для массового производства во всем мире. Однако ему присущи и
недостатки, главные из которых низкая эффективность использования галоидов (порядка 40 ... 60%) и сравнительно
малая скорость осаждения (0,25 ... 0,5 г/мин).
105
11.3 Принципы и особенности построения ВОПС (волоконно-оптической системы передач).
С точки зрения проектировщиков ВОСП выбор и получение оптимального типа волокна является критическим, но не
завершающим этапом в сложном процессе изготовления оптического кабеля. После вытяжки волокна технологам
приходится решать ряд сложных проблем, чтобы в процессе заделки волокна в кабель не были ухудшены
характеристики волокна, а также чтобы эти характеристики не подвергались заметной деградации в процессе
прокладки и эксплуатации ВОСП.
Волокно является исходным продуктом для скрутки кабеля искомой конструкции. Кабели разных типов в зависимости
от областей применения могут иметь от 1 до 144 волокон, которые либо укладываются в спиральные пазы или канавки, либо
заливаются в сердечник кабеля вместе с упрочняющими и токоведущими элементами. Для изготовления кабелей
традиционного типа, т. е. цилиндрических, используются крутильные машины, похожие на аналогичные устройства в
традиционном кабельном производстве. При изготовлении ленточных кабелей технология иная и более напоминает процесс
изготовления электрических проводников ленточной формы. Сечения некоторых типов кабелей показаны на рисунке
11.3.1.
Рисунок 11.3.1. Сечение кабелей:
а—повивная скрутка; б—многоповивная скрутка; в—пучковая скрутка; 1 —оптическое волокно; 2 —
промежуточный корд; 3 — оболочка кабеля; 4 — упрочающий элемент
106
Практическое занятие N1
Определение профилей распределения примесей при термической диффузии
В настоящее время при формировании легированных областей в объеме твердого тела широко используются метод
термической диффузии примесей и метод ионной имплантации (внедрения) примесей.
Исторически метод термической диффузии примесей на ранних этапах развития микроэлектроники являлся
единственным для модифицирования приповерхностных свойств (типа проводимости, электропроводности,
рекомбинационных свойств и др.) твердого тела. В дальнейшем появился более прогрессивный прецизионный метод
легирования - ионная имплантация.
Однако термическая диффузия при производстве изделий ЭОТ используется как метод разгонки примесей после
ионной имплантации.
При рассмотрении диффузионных процессов следует выделить два класса задач: первый - построение профилей
распределения примесей и второй - определение геометрических размеров легированных областей (глубин
залегания) при заданных технологических режимах процесса диффузии.
Рассмотрим первый из них.
В полупроводниковой технологии интерес представляют два случая распределения примесных атомов.
1. Диффузия из источника с ограниченным содержанием примеси (ограниченный источник). Примесь в начальный
момент находится в бесконечно тонком поверхностном слое. Тогда профиль распределения концентрации имеет вид
нормального распределения
C( x, t ) 

x2 
 (1.1)
 exp  
4

D

t
Dt


N
где N - плотность атомов примеси под единицей площади поверхности, неизменная в любой момент диффузии,
ат/см2;
х - глубина, соответствующая данной концентрации, см;
D - коэффициент диффузии примеси, см2/с;
t - время диффузии, с.
Глубина залегания р-n -перехода:
x j  2  D  t  ln( C 0 / C B ) (1.2)
где Со - поверхностная концентрация примеси - ат/см3;
СВ - концентрация примеси в исходной пластине - ат/см3.
В нашем случае, когда х = 0 из (1) следует, что
C0 
N
D t
(1.3)
Для практических случаев можно пользоваться приближенной формулой
x j  6  D  t (1.4)
2.Диффузия из источника с постоянной поверхностной концентрацией примеси (бесконечный источник)
2
  x
x
 
erfc
 exp  
 0.3  
2 Dt
 
  2  Dt
(1.5)
107
Где
erfc
x
- табулированная функция ошибок
2 Dt
Со= const и определяется предельной растворимостью примеси при температуре диффузии;
функция erfc - табулирована, но можно использовать ее аппроксимацию
2
  x
x
 
erfc
 exp  
 0.3   (1.6)
2 D t
 
  2 D  t
Для этого случая глубина залегания р-n- перехода
x j  2 D t 


ln( C0 / C B )  0.3
(1.7)
При последовательной диффузии нескольких примесей выражении для C(x,i) нужно использовать еумму
D  t  D1  t 1  D 2  t 2  D 3  t 3  ...
(1.8)
Расчет распределения примеси в случае двухстадийной диффузии
Стадия загонки протекает в течение короткого времени t| up постоянной поверхностной концентрации Со,.
Введенное при загонке количество примесных атомов (N, ат/см2 сдужит источником диффузанта при разгонке в течение
времени t изменяющейся во времени поверхностной концентрацией С02:
C02 
N
  D2  t
(1.9)
Для построения профиля распределения примеси при двухстадийной диффузии необходимо знать температуры
и время загонки и разгонки. Температура загонки Ti - определяет коэффициент диффузии D| и поверхностную
концентрацию Q>i, определяемую предельной растворимостью примеси.
Поверхностная плотность атомов примеси, введенной при загонке, определяется выражением

N   C ( x)dx   C01  erfc
0
D1  t1
x
(1.10)
dx  2C01

2 D1  t1
Распределение примеси после разгонки определяется выражением
C ( x, t ) 
2  C0



D1  t1
x2 
N
x2 
 
 (1.11)
exp  
exp  
D2  t 2
  D2  t 2
 4 D2  t 2 
 4 D2  t 2 
Пример 1. Построить профиль распределения примеси и определить глубину залегания p-n-перехода в случае
двухстадийной диффузии Р в Si р-типа с удельным сопротивлением 10 Ом-см, проводимой в режиме:
T1 = 1050°C; t1 = 10 мин;
Т1=1150°С; t2 = 2 ч.
Решение:
1. Так как на стадии загонки поверхностная концентрация COi постоянна и определяется ггредельной растворимостью
примеси при данной температуре, ее можно определить из графика зависимости С =f(Т)(рис.1.3.)Ш,
Находим, что для 1050 °С предельная растворимость Р в Si составляет 1.2 • 102' см"3, т.е.
2. Необходимо определить Di при Т = 1050 °С.
3. Определим количество атомов Р
из выражения
или
Из. графика D=f(T) (рис. 1.4.) находим, что
поверхностную плотность атомов примеси, введенную при загонке,
4. Стадия разгонки. Находим D2 из той же зависимости D = f(T); для температуры разгонки 1150 °С получаем
D2 = 4 • 10-13 CM2/C.
108
5. Можем определить распределение примеси после разгонки из выражения:
Для сравнения можем построить кривую распределения Р после загонки, пользуясь выражением
Если построить эти профили, то
получим зависимости, изображенные на рис 1.1, и следующие данные


x2

C( x, t )  5,5 1019   
8 
 1,15 10 
x 1 =1мкм= 1•10 -4 см;
х3=2 мкм = 2•10-4см;
хэ=3 мкм = 3•10-4 см;
Сх1 = 2.31•10-19;
Сх2 = 1.69•10-19;
Сх3 = 2,19•10-19;
Рис. 1.1. Профили распределения фосфора в Si после двухстадийной диффузии.
6. Определим
глубину
залегания
p-n-перехода
после проведения разгонки по формулам
СВ - концентрация примеси в исходной пластине. Ее можно найти из графика р = f(C) (рис. 1.5). Для рр = 1 0 Ом • см
получаем
С= 1.2 • 10-15 см3.
Поставляем найденные значения в выражение для xj:
По упрощенной формуле имеем
109
Расчет распределения примеси при диффузии из слоя конечной толщины
В предыдущем случае выполнялось условие v D,t,<D2t2 (1.5- 10"<2.88- 10 9),
т.е. форма профиля на стадии загонки не влияет на профиль после разгонки, т.к. их глубины залегания
несопоставимны. Если условие D1t1 < D2t2 не выполняется, то глубины диффузии при загонке и разгонке сопоставимы
и нельзя считать, что диффузия при разгонке идет из бесконечно тонкого слоя с ограниченным содержанием примеси.
В этом случае получается комбинированное распределение, определяемое решением уравнения Фика для диффузии из
слоя конечной толщины
C ( x, t1 , t 2 ) 
2  C01


  exp(  y 2 )  erf (y )dy
x
у - переменная интегрирования; а и z -табличные параметры
D1t1
x2
z
; 
4  ( D1t1  D2t 2 )
D2t 2
Поверхностная концентрация после разгонки С02 = С (x=0,t1,t2). Или
C02 
2

 C02  arctg
Интеграл в выражении (12) вычислен и табулирован для различных α и z.
Пример 2:
Рассчитать
распределение примени для двухстадийной диффузии Р в Si в режиме:
Т1 = 1250°С; t1 = 10мин;
Т2=1150°С; t2 = 2ч.
Определить глубину залегания p-n-перехода. Решение:
1. Из графика D = f(T) (см.рис.1.4) определим коэффициент диффузии Р при данных температурах:
D1 = 4 • 10-12 см2/с;
D 2 = 4 • 10-13 см2/с.
2. Сравним D1t1 и D2t2:
D1t1, = 4 • 1 0 - 1 2 •1 0 • 60 = 2.4 • 10-19;
D2t2 = 4 • 10 - 1 3 • 2 • 60 • 60 = 2.88 • 10-19, т.к. они близки, необходимо использовать формулу (12).
3. Определим постоянные а и г по формулам (13)
4. Определим поверхностную концентрацию после разгонки по кривой С = f(Т) (см.рис.1.3) (соответствует
предельной растворимости Р при данной температуре) при Т1 = 1250 °С
С01 = 1.2 • 1021 см-3.
5. Определим поверхностную концентрацию после разгонки С02:
б. Можем построить распределение концентрации по глубине, используя таблицу расчетных значений а и г.
Задавая значения г = 0.1 - 0.3 - 0.5 и т.д. для α = 0/9, определяем значение интеграла в формуле (12).
Из графика можем найти глубину х:
7. На стадии загонки распределение примеси имеет вид (5)
Если построить распределение концентрации Р, после загонки и разгонки получим следующие зависимости (рис. 1.2)
110
Рис. 1.2. Профили распределения Р в Si в примере 2.
Пример 3. Переход эмиттер-база формируется диффузией фосфора в подложку p-типа в течение t = 1 ч при Т = 1000
°С; концентрация атомов Р на поверхности Si поддерживается на пределе растворимости в твердом состоянии.
Предполагая, что концентрация примеси в базе равна концентрации примеси p-типа в исходном материале (или 10|7 см-3),
найти глубину перехода эмиттер-база.
Решение.
I вариант
Имеем диффузию из неограниченного источника, т.е. используем формулу (5)
Зная температуру диффузии Т = 1000 °С, находим Со и D из графиков С = f(T) и D=f(T) D = 3 • 10-14 см-3 (рис 1.31.4):
C0 = 1021 см-3;
D = 3 • 10-14 см-3;
Тогда
Из графика функции erfc (рис. 1.6) находим для значения 10"*
II вариант
Используем формулу (4):
Или по уточненной формуле (7)
111
Рис.1.3. Зависимость предельной растворимости примесных элементов в Si oт температуры.
Рис. 1.4. Графики для функции erfc и нормального закона распределения.
Рис. 1.5. Зависимость коэффициента диффузии примесей в Si от температуры.
112
Рис. 1.6. Зависимость удельного сопротивления Si от концентрации примеси.
113
Практическое занятие N2.
Определение технологических режимов процесса диффузии.
Второй класс задач связан с расчетом технологических режимов первой и второй стадии диффузии или второй стадии
диффузии ("разгонка") после ионной имплантации. Последняя наиболее актуальна при изготовлении современных
изделий ЭОТ последних поколений.
На первом занятии рассматривались так называемые прямые задачи, т.е. определение параметров диффузионного
слоя при заданных режимах диффузии (распределение примеси (профиль диффузии) C(x,t) и глубины залегания p-nперехода (xj). Рассмотрим так называемые обратные задачи, т.е. определение режимов диффузии при заданных параметрах
диффузионного слоя.
Эти задачи имеют большое практическое значение, но эта связь с практикой придает им специфику - они неоднозначны
в отношении выбора длительности и температуры процесса. Выбор этих параметров определяют конкретные особенности
технологического процесса и возможности технологического оборудования.
Строгое решение обратных задач приводит к определению произведения D•t. Далее чаще всего задаются температурой
Т и по найденному значению D определяют длительность процесса t. Иногда при выборе режимов следует учитывать не
только температурную зависимость коэффициента диффузии, но и предельную растворимость примеси.
1.
Определение режимов диффузии на основании заданных параметров распределения примеси. 1.1. Одностадийная
диффузия.
Для расчета стадии разгонки или одностадийной диффузии обратная задача достаточно проста.
Если диффузия
ведется
из источника с ограниченным содержанием примеси (конечный источник), тогда из
формулы для xj
(2.1)
получим
(2.2)
Теперь нужно выбрать либо температуру, либо время процесса. Если нас лимитирует производительность, задаем
некоторое минимальное время t и на основании вычисления Р определим температуру Процесса
(2.3)
Пример 1: Определить температуру разгонки As, предварительно внедренного с помощью ионной имплантации в
кремний р - типа с удельным сопротивлением 1 Ом-см, если распределение должно обладать глубиной залегания p-nперехода Xj = 0.5 мкм, поверхностной концентрацией Со = 1 5 • 1020 см'3, а длительность процесса составляет 1 час. Вычислить
количество атомов мышьяка N, которое должно быть внедрено в Si.
Решение:
1. С помощью зависимости р уд = f(C) (рис. 1.5) найдем С, (т.е.концентрацию примеси в исходной подложке) для Si с
руд= 1 Ом•см:
СB = 1.5 •1016 см-3.
2. Определим D по формуле
3. Из графика D = f(T) определяем, что такому значению D соответствует температура 1070 °С.
4. Рассчитаем количество атомов As, которое необходимо внедрить перед диффузией с помощью ионной
имплантации:
Пример 2: Определить режим диффузии As, проводимой в одну стадию при постоянной поверхностной концентрации
С0 = 1.5 • 1020 см-3 (т.е. из бесконечного источника), если подложка Si имеет руд = 1 Ом • см, глубина XJ = 0.5
мкм, время диффузии - 1 час.
Решение:
1. С помощью зависимости руд= f(C) найдем CВ:
114
Св=1.5 • 1016 см-3.
2.
Для диффузии из бесконечного источника распределение примеси описывается erfc - функцией, т.е.
Для этого случая Xj определяется из выражения
Возведем обе части в квадрат и определим D из выражения
3. По найденному D из зависимости D = f(Т) (рис. 1.4) определим температуру -1080 °С. Учтем предельную
растворимость As.
По зависимости С = f(T) (рис.1.3) определим, что для Т=1080 °С предельная растворимость равна 1.7-1021 см .
У нас по условию задачи поверхностная концентрация С0 = 1.5 • 1020 см-3 , т.е. необходимо для диффузии использовать
обедненный источник диффузанта.
1.2 Двухстадийная диффузия Задача решается в 2 этапа.
1. Определяем режим разгонки.
2. На основании полученных данных - режим загонки.
Режим разгонки найдем, используя формулу (10), т.е.
возведем обе части выражения в квадрат и выразим D1•t1:
Для стадии разгонки имеем по формуле (9)
Подставим N в предыдущее выражение
Из этого выражения видно, что для двухстадийной диффузии необходимо регулировать поверхностную
концентрацию при загонке С01 либо задавать температуру загонки Т 1, определяющую два параметра D1 и С01, а время
загонки t, рассчитать по известным данным:
Пример 3: Определить температуры и длительность процессов загонки и разгонки в случае двухстадийной диффузии
В в Si n-типа с pуд = 10 Ом • см, если искомое распределение примеси должно иметь следующие параметры:
115
Решение:
1. Зная руд по графику р = f(T) (рис. 1.5), находим СB (концентрацию примеси в исходной подложке):
2. Рассчитаемпроизведение D2 • t2
3. Теперь нужно задать либо температуру, либо время разгонки. Зададим температуру, например Т2 = 1150 °С. Для этой
температуры можем найти D2 из графика D =f(T) (Рис. 1.4), т.е. D2=7.2 • 10-13 см2/с.
Можем определить время разгонки t2:
4. Зададим теперь температуру загонки, например Ti=1050°C.
Для этой температуры можем найти Di из графика D = f(Т) (рис. 1.4), т.е.D1 = 6.9 • 10-14 см2/с и С01 из графика С = f(T) (рис.
1.3), т.е. С01 =3 • 1020 см-3.
5. Определим длительность загонки:
Практически такое время загонки нереально, поэтому нужно использовать либо обедненный источник бора, либо
вводить примесь методом ионного легирования.
6. Если использовать ионное легирование, то рассчитаем количество атомов В, которые необходимо ввести при
загонке:
6.
Если использовать обедненный источник примеси, то зададим реальное время загонки t| и определим
произведение:
Пусть t1 = 10 мин, тогда:
8. Зададим Т1 = 1050 °С, тогда D, = 6.9 • 10 - 14 см2/с. Тогда из предыдущего выражения
1.3. Определение режимов последовательной диффузии Пусть требуется получить типовую биполярную
транзисторную структуру:
116
Параметры, обведенные кружком -это заданные параметры
Параметры эмиттерной диффузии: Сод; Тд; tД.
Параметры базовой диффузии: С0а; Ta1; tа1; Nа; Tа2; tа2.
Са - концентрация примеси в эпитаксиальном слое (Известна), т.е. коллектора;
Сод; Соа, - концентрация донорной и акцепторной примесей в эмиттерной и базовой областях соответственно.
Необходимо определить:
1. Режим загонки акцепторной примеси – Та1, ta1, Na.
2. Режим разгонки акцепторной примеси – Та2, tа2.
3. Режим разгонки донорной примеси – Тд, ts.
Расчет режима
базовой диффузии аналогичен расчету двухстадийной диффузии в однороднолегированный
полупроводник.
Для упрощения принимают, что при эмиттерной диффузии не происходит заметного смещения распределения
концентрации акцепторной примеси, т.е.
где Dа3 - коэффициент диффузии акцепторной примеси при температуре эмиттерной диффузии.
Для расчета режима эмиттерной диффузии используют уравнение для глубины залегания эмиттерного p-n-перехода:
Решив его относительно Dд tД определяем либо температуру, либо длительность процесса диффузии, т.е.:
Пример 4. Определить режим загонки (Tа1, tа1, Nа) и разгонки (Т а2, t а2) при базовой диффузии В и режим загонки
(Т д, t д при эмиттерной диффузии Р в Si, если задано:
Решение:
Определим режимы базовой диффузии.
1. Найдем произведение Dа2tа2:
117
2. Зададим температуру разгонки, например Та1 = 1 1 5 0 "С. Для этой температуры можем найти Dа2 из графика D =
f(T), т.e. В2=7.2 • 10-13 см2/c.
Можем определить время разгонки tа2:
3. Найдем количество атомов В, необходимое для базовой диффузии:
4. Режим загонки бора выберем на основе произведения:
Зададим теперь температуру загонки бора Tа1 = 1050 °С, и из Графика D = f(T) определим коэффициент диффузии
при этой температуре:
Dа1 = 6.9 • 10-14 см2/с,
а из графика С = f(T) определим концентрацию
С0а = З • 1020 см-3.
4.
Подставим эти значения в предыдущую формулу и определим время загонки бора tа1:
На практике такое время загонки реализовать трудно, так как необходимо время для установления стационарного
потока диффузанта.
Поэтому, как и в предыдущем примере, зададим время загонки, пусть tа1 =10 мин.
Вычислим произведение:
Зададим поверхностную концентрацию бора Соа1 = 5 • 1019 см-3, подставим в предыдущее выражение и рассчитаем
коэффициент диффузии Dа1:
D a1 
3,24 10 26 3,24 10 26

 1,3 10 13 см 2 / с
19 2
C oa1
(5 10 )
Для этого коэффициента диффузии температура Т а1 = 1065°С (рис. 1.4).
7. Определим режим эмиттерной диффузии. Для этого рассчитаем произведение Dдtд:
118
8. Зададим время загонки, пусть ta = 1 час. Подставим это значение и рассчитаем Da:
По графику D = f(T) определим температуру эмиттерной диффузии:
Тд=1125°С.
9. Оценим справедливость допущения, что распределение В не изменяется при диффузии Р. Сравним Da2ta2 и Da3tд
Для Т = 1125 ОС => Da3 = 4 • 10-13 см2/с
Тогда:
т.е. выполняется соотношение:
Бор в базе практически не разгоняется при формировании эмиттера диффузией фосфора.
119
Практическое занятие N3.
Методы расчета ионно-легированных структур.
Ионное легирование (ИЛ) - это процесс бомбардировки твердых тел пучками ускоренных ионов с целью изменения
их свойств и структуры.
С помощью Ионного легирования решаются те же технические задачи, что и при термической диффузии, однако
данный метод имеет свои особенности.
Типичные параметры ИЛ:
Еуск = 10 – 300 кэВ (1МэВ);
Диаметр ионного пучка ~ 1 см2
Ток ионного пучка = l0  A … mA
Глубина внедрения - до 0,5 мкм
Структурная схема установки для ИЛ.
1. Установка с Е > 100 кэВ
2. Установка с Е < 100 кэВ
Преимущества ИЛ
1.
2.
3.
маску.
4.
5.
6.
Высокая управляемость процесса (точное задание дозы и Е).
Выбор примеси не ограничивается предельной растворимостью материалов в твердом состоянии.
Уменьшение геометрических размеров приборов за счет малого бокового отклонения ионов под защитную
Возможность получения сложных ступенчатых профилей.
Низкая температура процесса.
Чистота процесса (возможность легирования через защитную маску).
Недостатки ионного легирования
1. Возможность получать только неглубокие профили(~0.5 мкм).
2. Повреждение
кристаллической решетки требует последующего отжига при Т ~ 450 - 900 °С.
3. Сложность и громоздкость оборудования.
120
Пробеги ионов
R - полная длина пробега; Rp - проекция полной длины пробега(средний нормальный пробег);  Rp среднеквадратичное отклонение проекции полной длины пробега (разброс).
Для расчета среднего полного пробега R (см) иона с энергией Е (эВ) используют формулы, в которых Е и R выражены
в безразмерных единицах е и р соответственно:
(3.1)
L - нормирующий множитель пробега (см-1)
(3.2)
где
с = 0.45; d = 0.3 - параметры, учитывающие торможение за счет ядерного взаимодействия;
k и  - коэффициенты, учитывающие торможение за счет электронного взаимодействия:
(3.3)
z( и z2 - заряды ядра иона и мишени;
M1 и М2 - массы ядра иона и атома мишени
  F E (3.4)
F - нормирующий множитель энергии (эВ1)
(3.5)
а - радиус экранирования заряда ядра электронами (см)
(3.6)
у - коэффициент передачи максимальной энергии ионом атому мишени при лобовом столкновении:
(3.7)
N2 - собственная концентрация атомов мишени.
121
Пример 1. Рассчитать средний полный пробег ионов бора с энергией Е = 100 кэВ в Si, если z1 = 5, z2 = 14, М1 = 11,
М2 = 28.09, N2= 4.98 • 1022 см-3, с = 0.45, d = 0.3.
1. Необходимо определить: радиус экранирования - а;
коэффициент передачи энергии -  ;
коэффициенты электронного торможения - k,  ;
нормирующие множители - L, F.
2. Определим радиус экранирования а;
3. Коэффициент передачи максимальной энергии  :
5.
Коэффициенты электронного торможения k и  :
5. Нормирующие множители для пробега L и энергии F:
Теперь можно рассчитать  :
6, Рассчитаем энергию в безразмерных единицах, т.е. (необходимо для расчета безразмерного пробега p):
7. Рассчитаем пробег в безразмерных единицах, т.е. р:
Вычислим отдельные множители:
122
Подставим в предыдущую формулу,
8. Выразим пробег в размерных единицах:
Понятие среднеквадратичного отклонения нормального пробега(или проекции) (ARp)
где f - корректирующая поправка, связанная с упругим рассеянием;
R - полная длина пробега;
Rp - проекция полной длины пробега.
где  П - полная энергия, затраченная на упругое рассеяние;
 - энергия в безразмерных единицах.
Так как Rp - это средняя проекция пробега, то часть ионов не достигает Rp, а часть проникает глубже Rp.
Итак,  Rp - это среднеквадратическое отклонение проекции пробега или разброс:
где  - это коэффициент, равный M2/M1.
р - пробег в безразмерных единицах;
 - коэффициент передачи максимальной энергии при лобовом столкновении;
 - энергия в безразмерных единицах;
Sn(  ) - ядерная тормозная способность:
123
Практическое занятие N4.
Методы расчета ионно-легированных структур (продолжение)
Пример I: Рассчитать Rp и  Rp ионов 11В+ с энергией 100 кэВ в Si.
1.
Используя данные примера 1 предыдущего практического занятия, найдем потери на ядерное торможение
2.
Рассчитаем поправку на упругое рассеяние f:
3.
Для Е > 10 определим тормозную способность Sn(  )
4.
Соотношение масс сталкивающихся частиц  :
5.
Средний нормальный пробег или проекция пробега Rp:
6.
Среднеквадратичное отклонение пробега  Rp:
Значения Rp и  RP табулированы для различных ионов и энергий, т.е. [(Rp и  Rp = f(E)], либо определяются из
графиков:
Профиль распределения примеси при ионном легировании , т.е. С(х) описывается в первом приближении кривой
Гаусса:
где N - доза [ион/см2];
Rp - средняя проекция длины пробега [мкм];
 Rp - среднеквадратичное отклонение длины пробега [мкм];
п
124
х - расстояние от поверхности образца [мкм].
Максимальная концентрация достигается на глубина х = R p (положение пика концентрации). Для этого случая:
Кроме того, важнейшими параметрами ИЛ являются:
Е - энергия ионов и N - доза внедренных ионов.
Доза - это количество частиц, бомбардирующих
N определяется параметрами процесса ИЛ:
единицу поверхности за данное время. На практике
где t - время облучения;
j - ионный ток;
А - площадь сечения ионного пучка;
q - заряд иона (для однозарядного е = 1.6 • 10-19 Кл).
Увеличение дозы легирования - один из путей уменьшения эффекта каналирования за счет увеличения
количества дефектов решетки.
Пример 2: Требуется внедрить атомы В в канал МОП-транзистора. Максимальную концентрацию 8 • 1016 см-3
желательно иметь на глубине около 150 нм.
Найти ускоряющее напряжение (Еуск), дозу (N) и разброс (  RP).
Решение:
1. Т.к. известно Rp = 150 нм = 0.15 мкм, из графика х = f(Еуск) или по таблицам для В находим:
Еуск = 50кэВ и  Rp = 0.05 мкм
2. Известно, что
Пример 3: Необходимо внедрить ионы As+ в Si-подложку. Максимальная концентрация 1 • 101 см"3 должна быть
получена на глубине около 100 нм. Найти Еуск, дозу (N) и разброс (  Rp ).
Решение:
1. Зная Rp = 0.1 мкм, находим из графика х=f(Еуск) или по таблицам для ионов As+:
Пример 4: Построить график распределения концентрации ионов Р для легирования Si при дозе 1015 см-2 и ускоряющих
напряжениях 40 и 80 кэВ.
Решение:
Из таблиц (или графиков Rp,  Rp= f(Е)) находим:
При 40 кэВ Rp = 49 нм  Rp = 16,4 нм
 Rp = 29,5 Нм
При 80 кэВ Rp = 98 нм
При расчете Сmax и С(х) нм необходимо перевести в см и использовать формулу
125
Для построения кривых рассчитываем значения концентрации примеси как минимум в трех точках для
каждого ускоряющего напряжения, например,: 1 точка - х = R p ; 2 точка - х = 2 R p ; 3 точка - х=0;
С40(х = Rp ) = 2.4 • 1020;
С40(х= 0)= 2.8 • 1016;
16
С40(х = 2 Rp) = 2.8 • 10 ;
С80(х= Rp )= 5.4 • 1019;
17
С80(х = 0) = 2,2 • 10 ;
С80(х=2 Rp)= 2.2 • 1017.
Расчет профилей распределения примесей в структурах с двойной имплантацией.
Для получения n-p-n-транзистора проводят последовательно ИЛ акцепторной и донорной примесью подложки п-типа.
Причем Rра > Ярд, а Смах в < Смах д. Суммарное распределение примеси:
Глубина залегания коллекторного перехода:
где
Глубина залегания эмиттерного перехода и Смах:
Толщина базы:
Пример 5: Рассчитать профиль распределения концентрации примеси в транзисторной структуре,
созданной внедрением 11В+ и 31Р+ в Si n-типа, если:
СВ=-1016 см-13;
Еа = 100кэВ. Ед = 200кэВ;
Nа= 5 • 1013см-2; Nд=11015 см-2.
Решение:
1. Зная энергии внедрения, можем из таблиц определить Rp и ARp ионов В+и Р+:
Для В+ при 100 кэВ Rpa = 0.398 мкм  Rpa = 0.094 мкм.
Для Р+ при 200 кэВ Rpa = 0.254 мкм  Rрд = 0.061 мкм.
126
2. Найдем максимальные концентрации В и Р:
3. Найдем глубины Xjk и Xj3:
Если построить суммарное распределение С(х), получим:
127
Практическое занятие N5.
Определение технологических режимов ионного легирования
Это так называемые обратные задачи, т.е. определение энергии Е, необходимой для получения заданного среднего
нормального пробега Rp или дозы облучения N, необходимой для получения заданной концентрации примеси.
1. Расчет энергии ионов для получения заданного пробега Соотношение пробег-энергия описывается формулой
однако простым преобразованием нельзя выразить зависимость энергии от пробега, т.е.  = f(p).
Эту формулу можно упростить, исходя из разложения в ряд функции arctg х и, учитывая, что во многих случаях
<= 3 •  , тогда:
 •k
Если соблюдается условие 6 <= с/к + d (это справедливо для большинства практических случаев), то 1п(1+х) »хииз
формулы для корректирующей поправки f и энергии на упругое рассеяние иона Б„ следует, что
Средний нормальный пробег рР (Rp) связан с полным пробегом p(R) соотношением
рр = f • р - в безразмерных величинах.
Подставив в него формулы (2) и (3) и решив полученное кубическое уравнение, найдем зависимость энергии иона
от его среднего нормального пробега.
Где
где рр - средний нормальный пробег (или проекция длины пробега) в безразмерных величинах, а р и q - численные
параметры.
Пример 1: Определить энергию Е, необходимую для получения среднего нормального пробега ионов Р в Si, равного
0.1 мкм (Rp=0.l мкм).
Для Р: z1 = 15, М1 = 31.
Дм Si: z2 = 14, М2 = 28.09, N2 = 4.96 • 1022(см-3).
Дня определения Б необходимо найти ei и е 2 , р и q, соответственно рр и f, а также нормирующие множители L и
F.
1. Определяем соотношение масс ц.:
 = M2/M, = 28.09/31=0.905.
2. Радиус экранирования а:
128
3. Коэффициент передачи максимальной энергии при лобовом столкновении у:
4. Нормирующий множитель энергии F:
5. Нормирующий множитель пробега L:
6 Коэффициент электронного торможения к:
7. Перед тем как рассчитать f (поправку на упругое рассеяние), рассчитаем отдельные множители:
с/k = 0.45/0.139 = 3.24;
c/k + d = 3.24 + 0.3 = 3.54.
(c и d- параметры ядерного торможения = const = 0.45 и 0.3)
 
c/k 

f  1  
3 c / k  d 

1

0.905 3.24 

 1 

3
3.54 

1
 0.776
8. Рассчитаем средний нормальный пробег (или проекцию длины пробега) рр в безразмерных единицах:
pp = Rp • L = 0.1 • 10-4 • 2.86 • 105 = 2.86.
9. Найдем значения q и р:
10. Определим значения Е\ И е2, но сначала вычислим подкоренное выражение:
И, Определим значение энергии е в безразмерных величинах, соответствующих данному пробегу:
129
12. Определим энергию в размерных величинах, т.е. в эВ:
Задачу можно решить графически, имея табличные данные зависимости Rp = f(Е). Для этого строится график Rp(E) и для
заданного Rp находится Е.
II. Расчет дозы облучения для получения заданной концентрации примеси
Профиль концентрации примеси при ИЛ описывается кривой Гаусса:
Для ее построения нужно знать Rp,  Rp и N - все эти параметры обычно неизвестны.
Известны параметры - Xj, Cmax и Св.
Выражение xj получаем из условия С(х) = 0:
Доза облучения N находится из выражения для Сmах:
В этом случае для расчета дозы используют графическое решение:
Задают несколько значений Е и для них находят Rp,  Rp.
Строится зависимость правой части уравнения для xj от Е. Для заданного значения xj (проведя прямую параллельно оси
энергий до пересечения с прямой Rp +  RP) находят искомую энергию.
Зная энергию, находят для нее  RP и рассчитывают дозу N.
Пример2: Определить энергию и дозу облучения, необходимые для создания p-n-перехода на глубине xj = 0.3 мкм с
помощью внедрения Р в Si p-типа с Св=1O16 см-3, если необходимо обеспечить Сmях = 5 • 1019см-3.
1. Из уравнения для xj:
2. Построим кривую Rp + 4.12 •  Rp от энергии в диапазоне Е=80... 160 кэВ, пользуясь табличными данными Rp = f(E) и
 Rp =f(E).
130
3. Для этой Е = ПО кэВ найдем из таблиц для R p и  Rp их значения:
Rp = 0.136 мкм;  Rp= 0.038 мкм.
4. Рассчитаем дозу облучения:
N = 2.5 •  Rp • Cma[= 2.5 • 3.8 • 10-6 • 5 • 1019= 4.75 • 1014 см-2.
Расчет режимов имплантации для создания транзисторной структуры
ПримерЗ: Необходимо создать n-p-n-структуру с толщиной базовой области  = 0.1 мкм, xjэ = 0.2 мкм, СВ = 2 • 1016
см ; Сmax а = 3 • 1018 см-3, Сmax д = 1 • 1020 см-3. Базовая область создается внедрением В, а эмиттеры - Р. Определить режимы ИЛ,
т.е. Na, Nд, и Еа„ Ед.
В общем виде данная задача не решается. Необходимо использовать упрощающие соотношения:
1. Для хjк:
-3
Для ионов с массами М1 < M2 в диапазоне Е = 20... 100 кэВ
где  - коэффициент передачи максимальной энергии при лобовом столкновении:
тогда
Откуда
По таблице Rp = f(E) ближайшее значение энергии соответствует 40 кэВ. Для этой Е => Rpa, = 0.161 мкм,  Rp, = 0.0538
мкм.
Тогда
xjk = 0.161 + 3.16 • 0.058 = 0.33 мкм.
2. Доза облучения ионами В определяется по формуле
3. Для эмитгерного перехода
131
Приближенное выражение для xj3:
Если M1 > М2 в диапазоне Е = 20...100 кэВ
Тогда
Откуда
По таблице Rp = f(E) ближайшее значение энергии соответствует 80 кэВ.
 Rpд = 0.0295 мкм. Тогда
4. Доза облучения ионами Р.
Для этой Е => Rpд = 0.098 мкм,
132
Практическое занятие N6.
Термическое окисление
Одним из базовых технологических процессов производства изделий ЭОТ на основе кремния является процесс
термического окисления. Он используется для получения на поверхности кремниевых пластин защитного слоя
диоксида кремния, в котором методами литографии формируется в дальнейшем контактная маска, определяющая
конфигурацию легированных областей будущих приборов. С использованием такой локальной маски осуществляется
последующее легирование пластин методами термической диффузии или имплантации.
В технологии формирования ИС оксиды используются в качестве:
4. Масок при ИЛ или диффузии.
5. Пассивации поверхности полупроводниковых структур.
6. Изоляции приборов друг от друга.
7. Подзатворного диэлектрика в МОП-структурах.
5. Межслойной изоляции в многослойных металлизационных
структурах и т.д.
В настоящее время для формирования окисных слоев используется несколько методов:
1. Термическое окисление.
2. Анодирование в растворах электролитов.
3. Пиролитическое осаждение (осаждение из газовой фазы).
4. Плазменное анодирование.
5. Вакуумные методы.
Термическое окисление является наиболее распространенным методом в технологии ИС.
Химические реакции при термическом окислении Si:
Siтв + O2 => SiO2тв;
(1)
Siтв, + 2H2O => SiO 2тв, + 2H 2  ,
(2)
Термическое окисление - это реакция с расходованием материала подложки.
Модель термического окисления кремния
Кинетика процесса окисления описывается моделью Дила-Гроува. Она применима для температурного диапазона
700-1300 °С, парциальных давлений кислорода (0.2 - 1.0) • 10 5 Па, толщины окисных пленок 30-2000 нм в атмосфере О2
и Н2O.
Окисляющие элементы диффундируют из газовой фазы на границу газ-окисел (поток F, представляет число
атомов или молекул, пересекающих поверхность единичной площади за единицу времени), переносятся через уже
образовавшийся окисел (поток F2) и реагируют на границе SiO2-Si с кремнием (поток F3).
В условиях равновесия F1 = F2 = F3
Для потока F1:
F1  h G  (C G  CS )
где h0 - коэффициент массопереноса в газовой фазе;
Со - концентрация окислителя в объеме газовой фазы;
Cs - концентрация окислителя у поверхности окисла.
(3)
133
Чтобы связать равновесную концентрацию окислителя в окисле с его концентрацией в газовой фазе, воспользуемся
законом Генри:
где Со - концентрация в окисле на внешней поверхности (равновесная);
С* - объемная концентрации в окисле (равновесная);
ps - парциальное давление в газовой фазе у поверхности окисла;
рG - парциальное давление в объеме газовой фазы;
Н - постоянная Генри.
С учетом закона идеальных газов можно заменить С о и Cs парциальными давлениями:
Объединив уравнения 4-6, получим для Fi:
где h - коэффициент массопереноса в газовой фазе, выражаемый в размерности концентрации в твердом теле, т.е.
Для потока F2 (поток окисления через окисел): Этот поток описывается законом Фика, т.е.
где D - коэффициент диффузии окислителя;
dD
- градиент концентрации окислителя в окисле.
dd
Если процесс установившийся, т.е. F2 одинаков в любой точке внутри окисла ( т.е. dF2/dd = 0), получим:
где
Ci - концентрация окислителя на границе окисел-кремний;
d0 - толщина окисла.
Для потока F3. Предполагается, что он пропорционален Q:
где ks - константа скорости реакции окисления. Если совместно решить уравнения для F|, F2 и F3, то получим
выражения С; и Со:
134
Предельные случаи при рассмотрении уравнений (11) и (12) возникают, когда коэффициент диффузии D либо
слишком мал, либо слишком велик.
1. Когда D слишком мал:
В этом случае говорят, что реакция идет при диффузионном контроле, т.е. лимитирующей стадией является
диффузионная стадия протекания реакции. Скорость реакции зависит от скорости доставки окислителя к границе раздела
фаз.
2. Когда D очень велик:
Говорят, что реакция идет при кинетическом контроле, т.е скорость окисления зависит от константы скорости
реакции ks и концентрации Qi, равной Со.
Чтобы рассчитать скорость роста окисла, определим N1 как число молекул окислителя, входящих в единичный объем
окисного слоя. Так как окисел содержит 2.2 • 1022 см-3 молекул SiO2 и на создание SiO2 требуется одна молекула О2 или две
молекулы Н2О, то:
N1 = 2.2 • 1022 см-3 - для окисления в сухом кислороде;
N1 = 2 • 2.2 • 1022 см-3 - для окисления в парах воды.
Если (11) подставить в (10), можно записать выражение для потока окислителя, достигающего границы раздела
SiO2-Si в следующем виде:
Если решить это дифференциальное уравнение исходя из предположения, что на поверхности Si уже мог быть
некоторый слой окисла (т.е. d0 = d1 при t = 0), получим
где
B
2  D  C*
- параболическая константа скорости, мкм2/ч;
N1
d12  A  d i

- время
B
 - соответствует сдвигу по временной оси, который необходим, чтобы учесть наличие первоначального
слоя окисла толщиной di.
Решение (14) для d0 = f(t) выглядит так:
135
d0
t 

 1  2

A / 2  A / 4B 
1/ 2
1
(15)
При анализе данного уравнения необходимо учесть два предельных случая:
1.
Большое время окисления, т.е. t +
do2
2.
 >> А2/4 • В;
= В • t - параболический закон роста (диффузионный контроль). (16)
Очень малое время окисления, т.е. t +
d0 
 << А2/4 • В;
A
 ( t  ) - линейный закон роста (кинетический контроль); (17)
B
A
- линейная константа скорости окисления
B
Константы А, В и В/А рассчитаны и табулированы для окисления в сухом и влажном кислороде.
С* - равновесная концентрация окислителя в окисле (см-3).
Так, например, в SiO2 при 1000 °С она составляет:
Окислитель
Равновесная концентрация С*, см-3
O2
5.2 • 1016
H2O
3 • 1019
Эмпирические формулы для расчета времени окисления
1. Во влажном О2:
t - время окисления (мин), р - давление кислорода (Па); х -толщина SiO2 (м); k - const Больцмана = 8.6 • 10-5 эВ/К;
Т - температура (К).
2. В парах воды:
t - время окисления (мин); х - толщина SiO2 (мкм); k - const Больцмана = 8.6 • 10-5 эВ/К; Т - температура (К).
Пример1: Рассчитать толщину слоя Si, который расходуется на образование слоя термического окисла толщиной 1
мкм, если dSi = =2.33 г/см3, dSiO2 = 2.27 г/см3.
1. Реакция, протекающая при термическом окислении:
Si + О2 => SiO2.
Определим молекулярные веса вступающих в реакцию и образующихся веществ:
М.в. Si = 28 г;
M.B. SiO2 = 2 8 + 1 6 • 2 = 60 г.
2. Определим объем Si, вступившего в реакцию, и объем образовавшегося SiO2:
136
. Соотношение толщин Si и SiO2 будет пропорционально соотношению объемов, т.к. площадь постоянна:
Пример 2: Определить время, необходимое для получения пленки SiO2 толщиной 2 мкм при температуре 920 °С
методом термического окисления в парах воды при атмосферном давлении и давлении 20 атм (2 Ml 1а). параболические
константы Ватм=0.203 мкм2/ч, В2мпа = 3.00 мкм2/ч.
1. Для этого случая t >> А2/4 • В,  = О, В920 = 0.203 мкм2/ч;
По эмпирической формуле
2. При давлении 20 атм. = 2 МПа: В2мп. = 3.00мкм2/ч;
Таким образом, при окислении под давлением приблизительно на порядок можно сократить время окисления, что
широко используется на практике, например, в технологии ISOPLANA
Практическое занятие N 7.
Анализ и расчет характеристик тонкопленочных контактов металл-полупроводник
Неотъемлемой частью любого изделия ЭОТ на базе полупроводников являются тонкопленочные контакты типа
137
металл-полупроводник, выполняющие роль связующего звена между полупроводниковой структурой и внешней
электрической цепью. С ростом степени интеграции изделий растет и число контактных окон к элементам изделия, т.е.
увеличивается число контактов с одновременным уменьшением их топологических размеров.
Тонкопленочные контакты в изделиях ЭОТ бывают невыпрямляющие (омические) и выпрямляющие или диоды
Шоттки.
Краткий анализ характеристик контактов
Качество ИЭОТ существенно зависит от электрофизических свойств и надежности металлизации, в частности,
невыпрямляющих контактов.
Невыпрямляющие. контакты (НК) класса металл-полупроводник являются неотъемлемым атрибутом любых
полупроводниковых ИС. Система тонкопленочных НК, токопроводящих дорожек и контактных площадок образует
металлизацию изделия.
Четверть отказов полупроводниковых ИС приходится на металлизацию.
Переходное сопротивление НК логических ИС непосредственно влияет на ВАХ участков схемы и значение напряжения
логического 0.
Важнейшими характеристиками НК являются:
1. Переходное сопротивление рк (Ом • см2).
2. Высота потенциального энергетического барьера  В (В).
3. Уровень инжекции неосновных носителей.
4. Время наработки на отказ и т.д.
Структура и свойства контактов металл-полупроводник зависит от взаимного расположения уровней Ферми в
металле EFm и погупроводнике EfS(pHc.7.1).
б) Рис.7.1. Зонная диаграмма выпрямляющего контакта.
Если рассматривать случай контактирующих слоев (б), то поскольку Фs<Фm, то электроны переходят из
полупроводника в металл и вблизи границы с металлом образуются нескомпенсированные положительные ионы доноров и
зоны искривляются вверх (т.к. направление электрического поля в этом слое таково, что энергия основных носителей
заряда в нем больше, чем в толще полупроводника).
Для контакта металла с полупроводником p-типа при Фs>Фm, электроны из металла переходят в полупроводник, в
приповерхностном слое рекомбинируют с дырками и образуются нескомненсированные отрицательные ионы акцепторов,
препятствующие дальнейшему переходу. А зоны при этом искривляются вниз (рис.7.2).
138
Рис.7.2 Зонная диаграмма выпрямляющего контакта.
Такой контакт характеризуется наличием обедненного слоя в приконтактном слое полупроводника, следовательно,
приконтактный слой обладает повышенным сопротивлением и поэтому определяет сопротивление всей системы, т.е. эта
особенность характерна для p-n-перехода.
Потенциальный барьер в приконтактном слое называют барьером Шоттки и его величина будет меняться в
зависимости от полярности приложенного напряжения (прямое + к металлу, обратное - к n-Si).
Высота Ф, для контакта-Ме n-тип:
Для контакта Ме-p-тип:
Где
 - электронное сродство к полупроводнику,
 т - работа выхода электронов из металла,
Е0 - ширина запрещенной зоны,
 о- нейтральный уровень поверхностных состояний,
 I - диэлектрическая постоянная вакуума, 8.86 • 10-12 Ф•м-1,
 i - диэлектрическая проницаемость диэлектрика на границе раздела металл-полупроводник (Ф см-1),
 - толщина диэлектрика на границе металл-полупроводник (см),
DB - плотность поверхностных состояний (см'2, эВ"1),
  - поправка на действие сил изображения (силы изображения - это силы притяжения электронов в слое
объемного заряда к металлической поверхности),
q - заряд электрона, 1.6 •10-19 Кл.
При ФМ<ФS происходит накопление основных носителей в полупроводнике, т.е. получается обогащенный слой (рис.7.3).
Сопротивление системы в целом определяется нейтральным слоем полупроводника и, следовательно, не зависит от
величины и полярности приложенного напряжения.
На практике для создания БШ используют Mo, Pt, PfSi, Аи, а для создания ИС применяют А1, Ti, силициды тугоплавких
металлов и т.д.
Для создания НК обычно проводят подлегирование приповерхностной области контактов, создавая п+ и р+ области под ними.
Разделенные слои
139
Рис.7.3 Зонная диаграмма невыпрямляющего контакта.
Методы определения величины переходного сопротивления ИК
Все они сводятся к выделению переходного сопротивления НК Rk из измеренного полного сопротивления образца.
Их можно классифицировать:
1. По способу выделения Rk из полного сопротивления образца.
2. По геометрической форме измерительных образцов.
3. По роду используемого тока.
4. По схеме измерения.
1. Определение Яц по приращению полного сопротивления измерительного образца после формирования контактов.
где
р - удельное сопротивление полупроводника, Ом • см;
t –толщина образца, см;
Rпп - сопротивление тела полупроводника, Ом. Удельное переходное сопротивление НК определяется как Rk,
приведенное к единице площади:
т.е. не зависит от размеров исследуемых контактов и используется для характеристики различных НК.
Rk можно найти по графику зависимости Кполн = f(t) экстраполяцией к точке t = 0.
2. Определение Rk к эпитаксиапьным слоям на низкоомной подложке
Образцы для исследования
140
Для одного из контактов на эпитаксиальном слое:
где d - диаметр контакта,
Ro - остаточное сопротивление (связанное с тыльным контактом).
Если все контакты находятся с одной стороны пластины, используется так называемый "четырехзондовый
метод".
Через контакты К1 и К4 - пропускают постоянный ток и измеряют напряжение между К2 и К3 - U23.
Затем пропускают тот же ток через К2 и К4 и снова фиксируют U23. Оно будет превышать U23 на величину падения
напряжения RкI на контакте К2.
Связь между Rк и рк задается выражением:
b - длина контактов,
где l- расстояние между контактами К2 и К3.
Имеется график функции С(а • к)
Найдя значение функции f(a • к), находим рК.
3. Определение Rk no распределению потенциалов вдоль образца.
Сущность метода состоит в том, что при прохождении тока по полупроводниковому образцу с металлическими
контактами напряжение падает как на сопротивлении объема полупроводника, так и на Rk. Если снять зависимость
потенциала на поверхности образца от расстояния до границы НК, то экстраполяцией этого графика к точке на границе
контакта можно определить падение напряжения на контакте:
141
Для расчета характеристик тонкопленочных контактов металл-полупроводник необходимо знание работы выхода
металла и полупроводника.
Либо для тонкопленочных контактов;
Либо по формуле:
М
еталл
m
Значения Фm некоторых металлов.
Ф М
Ф М
Ф Ме
еталл m еталл m
талл
Al
Be
4
.25
3
V
Сr
3
Ni
Т
а
4
.12
N
b
4
.50
.95
Zr
4
.58
.92
Ti
4
.12
W
3
Ru
Pd
,99
M
o
4
.54
3
.90
4
.60
4
.80
4
Ge
.30
Re
Ф
m
2
.70
5
.00
Типичные значения высоты барьера Шотки для металлов составляют 0,65 - 0,75 эВ.
Степень легирования слоя полупроводника Nd - для n-типа и N, для p-типа влияет на механизм переноса носителей
зарядов (электронов и дырок соответственно) в контакте. Различают следующие механизмы переноса носителей через
контакт:
а) термоэлектронная эмиссия (перенос над энергетическим барьером);
б) полевая эмиссия (туннелирование) сквозь барьер;
в) термополевая эмиссия (перенос над барьером и туннелирование);
г) туннельно-рекомбинационный механизм.
Указанные механизмы переноса проиллюстрированы на нижеследующем рисунке.
Основные механизмы переноса носителей через контакт при наличии смещения UCM
142
Определить механизм переноса зарядов можно при помощи критерия :
kT / E  , где
h* - h/2  , h - 6.6 • 10-34;
m* -эффективная масса электрона, 4,55 • 10-31 кг;
m -масса электрона, m*= 0,5 т,
Тогда, если kT / E  >> 1, то основной механизм переноса носителей в контакте - термоэлектронная эмиссия ; если
kT / E  << 1 - полевая эмиссия, если kT / E  ~ 1 - тёрмополевая эмиссия.
Туннельно-рекомбинационный механизм встречается в особых типах контактов металл-полупроводник, где имеет место
интенсивное взаимодействие материалов в технологическом процессе формирования контактов с образованием новых
соединений и границ раздела.
Расчет характеристик контактов
1 .Если
kT / E  >> 1, то
А*- эффективная постоянная Ричардсона (А•см-2•К-2), свободных электронов постоянная Ричардсона А*=120 А•см-2•К2
.
Для кремния p-типа А*/А=0,66.
Для кремния n-типа:
А*/А=2,2 в направлении движения электронов <111>;
А*/А=2,1 в направлении движения электронов <100>.
2. Если
kT / E  << 1, то
EF - энергия уровня Ферми;
е, h -индексы электронов и дырок (Nd ~Ne, Na ~NP).
3. Если kT / E  ~ 1,то
143
Нужно учесть, что последнее выражение для рк справедливо, если
Расчет RK ведется применительно к омическим контактам к областям базы n-p-n -планарных транзисторов с Na =1018 10 см-3 и к областям эмиттеров (коллекторов) с Nd >1020 см-3.
19
Средняя площадь контактов Sk может быть выбрана из диапазона 1-8 мкм2.
Выбор металла контактов производится самостоятельно, исходя из технических условий или индивидуального задания.
Приложение
Таблица 1
Значения средних нормальных пробегов и стандартных отклонений
пробегов, выраженные в нанометрах, для ряда ионов с энергиями 20200 эВ в кремнии.
Е,эВ
Таблица 2 Некоторые характерные точки кривой Гаусса
11 +
27
3l +
75
12l
B
AI+
p
Sb+
Sb+
78
32
161
54
244
71
324
84
398
94
469
102
537
110
603
116
665
121
725
126
20 Rp
 RP
40 Rp
 RP
60 Rp
 RP
80 Rp
 RP
100 Rp
 RP
120 Rp
 RP
140 Rp
 RP
160 Rp
 RP
180 Rp
 RP
200 Rp
 RP
29
11
56
19
85
27
114
35
144
42
175
48
205
54
236
60
266
60
297
70
26
9.4
49
16.4
73
23
98
30
123
35
149
41
175
47
201
52
228
57
254
61
16
3.7
27
6.2
38
8.4
48
10.5
58
12.5
68
14.5
79
16
89
18
99
20
110
22
14
2.4
23
3.8
31
5.1
38
6.3
46
7.4
53
8.4
60
9.5
67
10.5
74
11.5
81
12.5
Таблица 2
Некоторые характерные

кривой Гаусса
0,833 1,52 2,14 2,63 3,04 3,39 3,71 4
x  R p 0точки
2R p
C( x )
C max
1
0,5
0,1
0,01
10-3
10-4
10-5
10-6
10-7
144
Зависимость толщины пленки SiO2, выращенной
в атмосфере сухого кислорода, от времени для температур С°
1-700; 2-800; 3-900; 4-1000; 5-1100; 6-1200; 7-1300; 8-
1400.
Зависимость толщины пленки SiO2, выращенной
В атмосфере водяного пара, от времени для температур С°:
1-600; 2-700; 3-800; 4-900; 5-1000; 6-1100; 7-1200; 8-1300;
9-1400.
Таблица З Значения erfc функции для различных а и г.
ж/а
0.1
0.3
0.5
1.0
2.0
3.0
5.0
0.1
0.09015
0.07376
0.06035
0.03655
0.01340
0.00491
0.00066
0.3
0.26295
0.21403
0.17422
0.10416
0.03725
0.01333
0.00174
0.5
0.41626
0.33557
0.27058
0.15812
0.05419
0.01866
0.00224
0.7
0.54464
0.43340
0.34515
0.19596
0.06398
0.02120
0.00242
0.9
0.64829
0.50812
0.39903
0.21979
0.06867
0.02213
0.00245
145
1.5
0.84509
0.63065
0.47586
0.24431
0.07141
0.02247
0.00246
3
0.99920
0.68698
0.49825
0.24708
0.07147
0.02247
0.00246
Download